summaryrefslogtreecommitdiff
path: root/complicated_matching_free.nb
diff options
context:
space:
mode:
Diffstat (limited to 'complicated_matching_free.nb')
-rw-r--r--complicated_matching_free.nb45347
1 files changed, 45347 insertions, 0 deletions
diff --git a/complicated_matching_free.nb b/complicated_matching_free.nb
new file mode 100644
index 0000000..133e526
--- /dev/null
+++ b/complicated_matching_free.nb
@@ -0,0 +1,45347 @@
+(* Content-type: application/vnd.wolfram.mathematica *)
+
+(*** Wolfram Notebook File ***)
+(* http://www.wolfram.com/nb *)
+
+(* CreatedBy='WolframEngine 11.0' *)
+
+(*CacheID: 234*)
+(* Internal cache information:
+NotebookFileLineBreakTest
+NotebookFileLineBreakTest
+NotebookDataPosition[ 160, 7]
+NotebookDataLength[ 2422110, 45339]
+NotebookOptionsPosition[ 2400719, 44844]
+NotebookOutlinePosition[ 2401055, 44859]
+CellTagsIndexPosition[ 2401012, 44856]
+WindowFrame->Normal*)
+
+(* Beginning of Notebook Content *)
+Notebook[{
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"(*", " ",
+ RowBox[{
+ RowBox[{
+ "Constants", " ", "fixed", " ", "for", " ", "the", " ", "2", "D", " ",
+ "square"}], "-",
+ RowBox[{"lattice", " ", "Ising", " ", "model"}]}], " ", "*)"}],
+ "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{"\[CapitalDelta]", ":=",
+ RowBox[{"15", "/", "8"}]}], "\[IndentingNewLine]",
+ RowBox[{"\[Gamma]", ":=",
+ RowBox[{"7", "/", "4"}]}], "\[IndentingNewLine]",
+ RowBox[{"\[Beta]", ":=",
+ RowBox[{"1", "/", "8"}]}], "\[IndentingNewLine]",
+ RowBox[{"\[ScriptCapitalM]0", ":=",
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ SuperscriptBox["2",
+ RowBox[{"5", "/", "2"}]],
+ RowBox[{"ArcSinh", "[", "1", "]"}]}], ")"}], "\[Beta]"]}],
+ "\[IndentingNewLine]",
+ RowBox[{"Tc", ":=",
+ RowBox[{"2", "/",
+ RowBox[{"Log", "[",
+ RowBox[{"1", "+",
+ RowBox[{"Sqrt", "[", "2", "]"}]}], "]"}]}]}], "\[IndentingNewLine]",
+ RowBox[{"B", ":=",
+ RowBox[{
+ SuperscriptBox["Tc", "2"],
+ RowBox[{"\[ScriptCapitalM]0", "/",
+ RowBox[{"(",
+ RowBox[{"16", " ", "\[Pi]"}], ")"}]}]}]}]}]}]], "Input",
+ CellChangeTimes->{{3.7138208469838247`*^9, 3.713820849646833*^9}, {
+ 3.71383052920462*^9, 3.7138305296005993`*^9}, {3.7142151732571373`*^9,
+ 3.7142152150054703`*^9}},ExpressionUUID->"e6bac00b-67c3-4bac-913c-\
+1c6897ee0a6e"],
+
+Cell[BoxData[{
+ RowBox[{
+ RowBox[{"data", "=",
+ RowBox[{
+ "Import", "[",
+ "\"\<~/doc/research/sethna/doc/essential-ising_doc/data/data_square-4096.\
+dat\>\"", "]"}]}], ";"}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{
+ RowBox[{"t", "[", "Tc_", "]"}], "[", "T_", "]"}], ":=",
+ RowBox[{"Abs", "[",
+ FractionBox[
+ RowBox[{"T", "-", "Tc"}], "T"], "]"}]}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{"assData", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Thread", "[",
+ RowBox[{"Rule", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ "\"\<L\>\"", ",", "\"\<T\>\"", ",", "\"\<H\>\"", ",", "\"\<E\>\"",
+ ",", "\"\<\[Delta]E\>\"", ",", "\"\<M\>\"", ",",
+ "\"\<\[Delta]M\>\"", ",", "\"\<C\>\"", ",", "\"\<\[Delta]C\>\"",
+ ",", "\"\<\[Chi]\>\"", ",", "\"\<\[Delta]\[Chi]\>\""}], "}"}], ",",
+ "#"}], "]"}], "]"}], "&"}], "/@", "data"}]}],
+ ";"}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{"sus", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"\"\<H\>\"", "/", "\"\<T\>\""}],
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"t", "[", "Tc", "]"}], "[", "\"\<T\>\"", "]"}], ")"}],
+ RowBox[{"-", "\[CapitalDelta]"}]]}], ",",
+ RowBox[{"\"\<T\>\"", "\"\<\[Chi]\>\"", " ",
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"t", "[", "Tc", "]"}], "[", "\"\<T\>\"", "]"}], ")"}],
+ "\[Gamma]"]}]}], "}"}], "/.", "#"}], "&"}], "/@", "assData"}]}],
+ ";"}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{"mag", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"\"\<H\>\"", "/", "\"\<T\>\""}],
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"t", "[", "Tc", "]"}], "[", "\"\<T\>\"", "]"}], ")"}],
+ RowBox[{"-", "\[CapitalDelta]"}]]}], ",",
+ RowBox[{"\"\<M\>\"", " ",
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"t", "[", "Tc", "]"}], "[", "\"\<T\>\"", "]"}], ")"}],
+ RowBox[{"-", "\[Beta]"}]]}]}], "}"}], "/.", "#"}], "&"}], "/@",
+ "assData"}]}], ";"}]}], "Input",
+ CellChangeTimes->CompressedData["
+1:eJxTTMoPSmViYGBQAmIQ/SO46bvZrNeOaybM/Qmi5d467A4B0ldyHPaAaJWL
+i6aFAmnFqJkzQXTrfb3sSCBt4s6dA6J9lvItTgbSsRcTwLTBRhn3lUA6JEAJ
+TN9dcoJpNZDWZz8Hpv95hHBuA9IH0iPB9Gmmn7v/AumwuX/BtEVM20EQzbx5
+Kpj+9+ynBfPs1471tyssQfRlUb7NIJpB5zmYXpR605wFSPckRVmA6OgZnFb8
+QPqRQqk1iBZ6xpIhDKQrmU2zQfQ24aDr4iDaJhJM83yVEu8C0qd6XoLpf3OP
+TLCY+9qxwuUamN7KXVKzCUhPWNdfB6Kfpb31j5r32vGHWGQAiN5eu6/wDZBu
+63kIpt/tLXwRP/+1o55dB5heYvxo30ogveYp134Q/VGb5cZFIH2FMQNM/+jz
+uAuiax7lgGmfPuN5YauA/lP3B9NrN99xCVrz2jFftscVREu8/uINokPbGXxA
+tKvg/AUJQPqe9Z3FINokhl86dC0wHnRK5UC0zrU1a7cC6ZqZR8A0i+bbNdHr
+gOHI2rUWRBuYWZ2bD6T5E13AdHy83dZlQPoRxzwwDQAg5x6+
+
+ "],ExpressionUUID->"9c43836c-5224-4a7f-b325-f696ee8f0277"],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"(*", " ",
+ RowBox[{"Constants", " ", "from", " ", "Mangazeev", " ", "et", " ", "al"}],
+ " ", "*)"}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{"Ch", ":=", "0.838677624411"}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{"Ghs", "=",
+ RowBox[{"{",
+ RowBox[{"0", ",", "0", ",",
+ RowBox[{"-", "1.8452280782328"}], ",", "0", ",", "8.333711750", ",",
+ "0", ",",
+ RowBox[{"-", "95.16896"}], ",", "0", ",", "1457.62", ",", "0", ",",
+ RowBox[{"-", "25891"}]}], "}"}]}], ";"}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{"Gls", ":=",
+ RowBox[{"{",
+ RowBox[{"0", ",",
+ RowBox[{"-", "1.3578383417066"}], ",",
+ RowBox[{"-", "0.048953289720"}], ",", "0.038863932", ",",
+ RowBox[{"-", "0.068362119"}], ",", "0.18388370", ",",
+ RowBox[{"-", "0.6591714"}], ",", "2.937665", ",",
+ RowBox[{"-", "15.61"}]}], "}"}]}], ";"}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{"\[CapitalPhi]s", ":=",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"-", "1.197733383797993"}], ",",
+ RowBox[{"-", "0.318810124891"}], ",", "0.110886196683", ",",
+ "0.01642689465", ",",
+ RowBox[{
+ RowBox[{"-", "2.639978"}], " ",
+ SuperscriptBox["10",
+ RowBox[{"-", "4"}]]}], ",",
+ RowBox[{
+ RowBox[{"-", "5.140526"}], " ",
+ SuperscriptBox["10",
+ RowBox[{"-", "4"}]]}], ",",
+ RowBox[{"2.08856", " ",
+ SuperscriptBox["10",
+ RowBox[{"-", "4"}]]}], ",",
+ RowBox[{
+ RowBox[{"-", "4.4819"}], " ",
+ SuperscriptBox["10",
+ RowBox[{"-", "5"}]]}]}], "}"}]}], ";"}]}]}]], "Input",
+ CellChangeTimes->{{3.7138205795305033`*^9, 3.7138206989795027`*^9}, {
+ 3.713820827348529*^9, 3.713820828782114*^9}, {3.713827929572274*^9,
+ 3.713827986846939*^9}, {3.7142152230668917`*^9, 3.714215230978198*^9}, {
+ 3.714215445969676*^9, 3.7142154491835117`*^9}, {3.714991936432271*^9,
+ 3.7149919589318943`*^9}, 3.714994551465583*^9, {3.714994633238303*^9,
+ 3.71499463351786*^9}, {3.7154332631487722`*^9,
+ 3.7154332771744823`*^9}},ExpressionUUID->"45775c0c-7c10-488f-a001-\
+49135ad61e03"],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"(*", " ",
+ RowBox[{
+ "Parametric", " ", "variables", " ", "from", " ", "Caselle", " ", "et",
+ " ", "al"}], " ", "*)"}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{
+ RowBox[{"hCas", "[", "\[Theta]_", "]"}], ":=",
+ RowBox[{
+ RowBox[{"(",
+ RowBox[{"\[Theta]", "\[Minus]",
+ RowBox[{
+ SuperscriptBox["\[Theta]", "3"], "/", "1.16951"}]}], ")"}],
+ RowBox[{"(",
+ RowBox[{"1", "\[Minus]",
+ RowBox[{"0.222389",
+ SuperscriptBox["\[Theta]", "2"]}], "\[Minus]",
+ RowBox[{"0.043547",
+ SuperscriptBox["\[Theta]", "4"]}], "\[Minus]",
+ RowBox[{"0.014809",
+ SuperscriptBox["\[Theta]", "6"]}], "\[Minus]",
+ RowBox[{"0.007168",
+ SuperscriptBox["\[Theta]", "8"]}]}], ")"}]}]}], "\n",
+ RowBox[{"h0Cas", ":=", "0.940647"}], "\[IndentingNewLine]",
+ RowBox[{"\[Theta]cCas", ":=", "1.08144"}]}]}]], "Input",
+ CellChangeTimes->{{3.713827424592308*^9, 3.7138274262122507`*^9}, {
+ 3.714215462172913*^9,
+ 3.714215488819463*^9}},ExpressionUUID->"0ed872c5-6e9e-45b4-ad9c-\
+dc67f0919064"],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"(*", " ",
+ RowBox[{
+ "Translation", " ", "between", " ", "the", " ", "variables", " ", "of",
+ " ", "Mangazeev", " ", "et", " ", "al", " ", "and", " ", "our", " ",
+ "own"}], " ", "*)"}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{
+ RowBox[{"G2C", "[",
+ RowBox[{"G_", ",", "n_"}], "]"}], ":=",
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{"2",
+ RowBox[{"ArcSinh", "[", "1", "]"}]}], ")"}], "2"],
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{"Ch", " ",
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{"2",
+ RowBox[{"ArcSinh", "[", "1", "]"}]}], ")"}],
+ RowBox[{"-", "\[CapitalDelta]"}]]}], ")"}], "n"], "G"}]}],
+ "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{"Gs2Cs", "[", "Gs_", "]"}], ":=",
+ RowBox[{"MapIndexed", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"G2C", "[",
+ RowBox[{"#1", ",",
+ RowBox[{
+ RowBox[{"#2", "[",
+ RowBox[{"[", "1", "]"}], "]"}], "-", "1"}]}], "]"}], "&"}], ",",
+ "Gs"}], "]"}]}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{"\[CapitalPhi]2C", "[",
+ RowBox[{"\[CapitalPhi]_", ",", "n_"}], "]"}], ":=",
+ RowBox[{
+ SuperscriptBox["Ch",
+ RowBox[{"2", "/", "\[CapitalDelta]"}]],
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"-", "2"}],
+ RowBox[{"ArcSinh", "[", "1", "]"}],
+ SuperscriptBox["Ch",
+ RowBox[{
+ RowBox[{"-", "1"}], "/", "\[CapitalDelta]"}]]}], ")"}], "n"],
+ "\[CapitalPhi]"}]}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{"\[CapitalPhi]s2Cs", "[", "Gs_", "]"}], ":=",
+ RowBox[{"MapIndexed", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[CapitalPhi]2C", "[",
+ RowBox[{"#1", ",",
+ RowBox[{
+ RowBox[{"#2", "[",
+ RowBox[{"[", "1", "]"}], "]"}], "-", "1"}]}], "]"}], "&"}], ",",
+ "Gs"}], "]"}]}]}]}]], "Input",
+ CellChangeTimes->{{3.713827868779071*^9, 3.713827879618968*^9}, {
+ 3.71421549365316*^9, 3.714215517441435*^9}, {3.714954898310052*^9,
+ 3.714954900421039*^9}, {3.7149918381457376`*^9, 3.714991877261487*^9},
+ 3.715359927206863*^9, {3.715433287541979*^9,
+ 3.715433287729039*^9}},ExpressionUUID->"e5fe4a94-4de5-4e0b-bd35-\
+c8af6a1467d4"],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"(*", " ",
+ RowBox[{
+ "Set", " ", "the", " ", "rules", " ", "for", " ", "expansion", " ",
+ "coefficients"}], " ", "*)"}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{
+ RowBox[{"ClearAll", "[", "Gl", "]"}], ";",
+ RowBox[{"ClearAll", "[", "Gh", "]"}], ";",
+ RowBox[{"ClearAll", "[", "\[CapitalPhi]", "]"}], ";"}],
+ "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{"Thread", "[",
+ RowBox[{"SetDelayed", "[",
+ RowBox[{
+ RowBox[{"Evaluate", "[",
+ RowBox[{"Gl", "/@",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"Range", "[",
+ RowBox[{"Length", "[", "Gls", "]"}], "]"}], "-", "1"}], ")"}]}],
+ "]"}], ",",
+ RowBox[{"Evaluate", "[",
+ RowBox[{"Gs2Cs", "[", "Gls", "]"}], "]"}]}], "]"}], "]"}], ";"}],
+ "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{"Thread", "[",
+ RowBox[{"SetDelayed", "[",
+ RowBox[{
+ RowBox[{"Evaluate", "[",
+ RowBox[{"Gh", "/@",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"Range", "[",
+ RowBox[{"Length", "[", "Ghs", "]"}], "]"}], "-", "1"}], ")"}]}],
+ "]"}], ",",
+ RowBox[{"Evaluate", "[",
+ RowBox[{"Gs2Cs", "[", "Ghs", "]"}], "]"}]}], "]"}], "]"}], ";"}],
+ "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{"Thread", "[",
+ RowBox[{"SetDelayed", "[",
+ RowBox[{
+ RowBox[{"Evaluate", "[",
+ RowBox[{"\[CapitalPhi]", "/@",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"Range", "[",
+ RowBox[{"Length", "[", "\[CapitalPhi]s", "]"}], "]"}], "-", "1"}],
+ ")"}]}], "]"}], ",",
+ RowBox[{"Evaluate", "[",
+ RowBox[{"\[CapitalPhi]s2Cs", "[", "\[CapitalPhi]s", "]"}], "]"}]}],
+ "]"}], "]"}], ";"}]}]}]], "Input",
+ CellChangeTimes->{{3.713827899563285*^9, 3.713827910207541*^9}, {
+ 3.713828180184713*^9, 3.713828181056987*^9}, {3.71382826859536*^9,
+ 3.713828438887127*^9}, {3.713828681601102*^9, 3.713828682285378*^9}, {
+ 3.714215528007637*^9, 3.714215554531211*^9}, {3.715433298513945*^9,
+ 3.715433331081891*^9}},ExpressionUUID->"7570c839-807a-4012-9e23-\
+8ff338ddd7c7"],
+
+Cell[BoxData[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]", "[",
+ RowBox[{"A_", ",", "B_", ",", "C_"}], "]"}], "[", "X_", "]"}], ":=",
+ RowBox[{"With", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"Y", "=",
+ RowBox[{"B", " ", "X"}]}], "}"}], ",",
+ RowBox[{
+ RowBox[{"-", "A"}], " ", "Y",
+ RowBox[{"(",
+ RowBox[{"C", "-",
+ RowBox[{
+ FractionBox["1", "\[Pi]"],
+ RowBox[{"Exp", "[",
+ RowBox[{"1", "/", "Y"}], "]"}],
+ RowBox[{"ExpIntegralEi", "[",
+ RowBox[{
+ RowBox[{"-", "1"}], "/", "Y"}], "]"}]}]}], ")"}]}]}],
+ "]"}]}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]f", "[",
+ RowBox[{
+ "A_", ",", "B_", ",", "C_", ",", "h0_", ",", "\[Theta]c_", ",", "f_", ",",
+ "Y_"}], "]"}], "[", "\[Theta]_", "]"}], ":=",
+ RowBox[{"With", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"x", "=",
+ RowBox[{"h0",
+ RowBox[{
+ RowBox[{"(",
+ RowBox[{"-",
+ RowBox[{
+ RowBox[{"f", "'"}], "[", "\[Theta]c", "]"}]}], ")"}], "/",
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ SuperscriptBox["\[Theta]c", "2"], "-", "1"}], ")"}],
+ "\[CapitalDelta]"]}]}]}], "}"}], ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]", "[",
+ RowBox[{"A", ",",
+ RowBox[{"x", " ", "B"}], ",", "C"}], "]"}], "[",
+ RowBox[{"\[Theta]c", "-", "\[Theta]"}], "]"}], "+",
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]", "[",
+ RowBox[{"A", ",",
+ RowBox[{"x", " ", "B"}], ",", "C"}], "]"}], "[",
+ RowBox[{"\[Theta]c", "+", "\[Theta]"}], "]"}], "-",
+ RowBox[{"2",
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]", "[",
+ RowBox[{"A", ",",
+ RowBox[{"x", " ", "B"}], ",", "C"}], "]"}], "[", "\[Theta]c",
+ "]"}]}], "+",
+ RowBox[{"Y", "[", "\[Theta]", "]"}]}]}], "]"}]}]}], "Input",
+ CellChangeTimes->{{3.715432077548287*^9, 3.71543212804488*^9}, {
+ 3.7154322905653133`*^9, 3.7154322909240723`*^9}, {3.715432669794365*^9,
+ 3.715432682298128*^9}, {3.71543997507934*^9, 3.715440001265518*^9}, {
+ 3.715441272985013*^9, 3.7154412847403*^9}, {3.715446264360908*^9,
+ 3.715446275807822*^9}, {3.715455289642639*^9,
+ 3.715455303450705*^9}},ExpressionUUID->"57914562-b259-4505-b41e-\
+931dc00deb9d"],
+
+Cell[BoxData[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]series", "[",
+ RowBox[{"A_", ",", "B_", ",", "C_"}], "]"}], "[", "n_", "]"}], ":=",
+ RowBox[{
+ RowBox[{
+ FractionBox["A", "\[Pi]"], " ",
+ SuperscriptBox["B", "n"],
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{"-", "1"}], ")"}],
+ RowBox[{"n", "+", "1"}]], " ", " ",
+ RowBox[{"Gamma", "[",
+ RowBox[{"n", "-", "1"}], "]"}]}], "/;",
+ RowBox[{"n", "\[GreaterEqual]", "2"}]}]}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]series", "[",
+ RowBox[{"A_", ",", "B_", ",", "C_"}], "]"}], "[", "1", "]"}], ":=",
+ RowBox[{
+ RowBox[{"-", "A"}], " ", "B", " ", "C"}]}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]series", "[",
+ RowBox[{"A_", ",", "B_", ",", "C_"}], "]"}], "[", "0", "]"}], ":=",
+ "0"}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]fseries", "[",
+ RowBox[{
+ "A_", ",", "B_", ",", "C_", ",", "h0_", ",", "\[Theta]c_", ",", "f_", ",",
+ "Y_"}], "]"}], "[", "n_", "]"}], ":=",
+ RowBox[{"With", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"x", "=",
+ RowBox[{"h0",
+ RowBox[{
+ RowBox[{"(",
+ RowBox[{"-",
+ RowBox[{
+ RowBox[{"f", "'"}], "[", "\[Theta]c", "]"}]}], ")"}], "/",
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ SuperscriptBox["\[Theta]c", "2"], "-", "1"}], ")"}],
+ "\[CapitalDelta]"]}]}]}], "}"}], ",",
+ RowBox[{
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{"-", "1"}], ")"}], "n"],
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]series", "[",
+ RowBox[{"A", ",",
+ RowBox[{"x", " ", "B"}], ",", "C"}], "]"}], "[", "n", "]"}]}], "+",
+ RowBox[{"SeriesCoefficient", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]", "[",
+ RowBox[{"A", ",",
+ RowBox[{"x", " ", "B"}], ",", "C"}], "]"}], "[",
+ RowBox[{"\[Theta]c", "+", "\[Theta]"}], "]"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "\[Theta]c", ",", "n"}], "}"}], ",",
+ RowBox[{"Assumptions", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"\[Theta]", "<", "\[Theta]c"}], ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"f", "'"}], "[", "\[Theta]c", "]"}], "<", "0"}], ",",
+ RowBox[{"\[Theta]c", ">", "1"}], ",",
+ RowBox[{"B", ">", "0"}], ",",
+ RowBox[{"C", ">", "0"}]}], "}"}]}]}], "]"}], "+",
+ RowBox[{"SeriesCoefficient", "[",
+ RowBox[{
+ RowBox[{"Y", "[", "\[Theta]", "]"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "\[Theta]c", ",", "n"}], "}"}]}], "]"}]}]}],
+ "]"}]}]}], "Input",
+ CellChangeTimes->{{3.7138296581714573`*^9, 3.713829668897043*^9}, {
+ 3.714216282324894*^9, 3.71421631629377*^9}, {3.714216406562893*^9,
+ 3.714216407106579*^9}, {3.714216493489238*^9, 3.714216495003374*^9}, {
+ 3.714216957160618*^9, 3.7142169586640577`*^9}, {3.714220514613604*^9,
+ 3.714220516363096*^9}, {3.714220562215344*^9, 3.714220567084944*^9}, {
+ 3.714220621206888*^9, 3.714220623255495*^9}, {3.714220659529737*^9,
+ 3.7142206915448503`*^9}, {3.7142207580076*^9, 3.714220760391014*^9}, {
+ 3.714221997768456*^9, 3.714222001894022*^9}, 3.714222038869793*^9, {
+ 3.7142221234176073`*^9, 3.714222127286632*^9}, {3.714222408524426*^9,
+ 3.7142224257214403`*^9}, {3.7142253359951*^9, 3.7142253369214163`*^9}, {
+ 3.7142255474140453`*^9, 3.714225552328629*^9}, {3.71422569985991*^9,
+ 3.714225702239896*^9}, {3.714226457371253*^9, 3.7142264701915903`*^9}, {
+ 3.714226649819853*^9, 3.7142266556523438`*^9}, {3.7142275062267427`*^9,
+ 3.71422753824463*^9}, {3.714227821773532*^9, 3.714227853822549*^9}, {
+ 3.714308832227326*^9, 3.714308854627388*^9}, {3.71430992385946*^9,
+ 3.714309936004583*^9}, {3.715432296611411*^9, 3.715432297651853*^9}, {
+ 3.7154324489378157`*^9, 3.715432483017626*^9}, {3.715432559461705*^9,
+ 3.715432700757535*^9}, {3.715433182936257*^9, 3.715433184229413*^9}, {
+ 3.715437060331243*^9, 3.715437061115728*^9}, {3.715441304643025*^9,
+ 3.7154413538450413`*^9}, {3.715446421512999*^9, 3.7154464218648987`*^9}, {
+ 3.715451260604353*^9,
+ 3.715451263356267*^9}},ExpressionUUID->"496eca64-4a2e-4f3c-b144-\
+ea4b47c003ae"],
+
+Cell[BoxData[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c_", ",", "a_", ",", "N_"}], "]"}], "[", "\[Theta]_",
+ "]"}], ":=",
+ RowBox[{
+ RowBox[{"(",
+ RowBox[{"1", "-",
+ FractionBox[
+ SuperscriptBox["\[Theta]", "2"],
+ SuperscriptBox["\[Theta]c", "2"]]}], ")"}], "\[Theta]", " ",
+ RowBox[{"Sum", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"a", "[", "n", "]"}],
+ SuperscriptBox["\[Theta]",
+ RowBox[{"2", "n"}]]}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "0", ",", "N"}], "}"}]}],
+ "]"}]}]}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{
+ RowBox[{"YY", "[",
+ RowBox[{"a_", ",", "\[Lambda]_", ",", "N_"}], "]"}], "[", "\[Theta]_",
+ "]"}], ":=",
+ RowBox[{"Sum", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"a", "[", "n", "]"}],
+ SuperscriptBox["\[Theta]",
+ RowBox[{"2", "n"}]],
+ RowBox[{"Exp", "[",
+ RowBox[{
+ RowBox[{"-", "\[Lambda]"}], " ",
+ SuperscriptBox["\[Theta]", "2"]}], "]"}]}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "0", ",", "N"}], "}"}]}], "]"}]}]}], "Input",
+ CellChangeTimes->{{3.713819190380546*^9, 3.713819190896842*^9},
+ 3.713819260499408*^9, {3.713819290861274*^9, 3.713819356919717*^9}, {
+ 3.713819648160975*^9, 3.713819710244134*^9}, {3.713819845919544*^9,
+ 3.713819847441774*^9}, {3.713819902140151*^9, 3.7138199025005493`*^9}, {
+ 3.713819942289647*^9, 3.7138199425167418`*^9}, {3.713819973169775*^9,
+ 3.713820057727282*^9}, 3.7138201025878477`*^9, {3.713820148943947*^9,
+ 3.7138201499010763`*^9}, {3.7138276879408207`*^9, 3.713827743698628*^9}, {
+ 3.713829466133606*^9, 3.713829467045795*^9}, {3.714216516733247*^9,
+ 3.71421653223547*^9}, {3.7148313145378532`*^9, 3.714831366113224*^9}, {
+ 3.714833366883547*^9, 3.714833368025641*^9}, {3.714838905090252*^9,
+ 3.71483891316218*^9}, {3.7148389441709414`*^9,
+ 3.714838966882984*^9}},ExpressionUUID->"4907121b-0e75-4af6-bb69-\
+7dbbfe7dd69e"],
+
+Cell[BoxData[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"F1", "[", "G_", "]"}], "[",
+ RowBox[{"t_", ",", "h_"}], "]"}], ":=",
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"Abs", "[", "t", "]"}], "2"],
+ RowBox[{"G", "[",
+ RowBox[{"h", " ",
+ SuperscriptBox[
+ RowBox[{"Abs", "[", "t", "]"}],
+ RowBox[{"-", "\[CapitalDelta]"}]]}], "]"}]}]}], "\n",
+ RowBox[{
+ RowBox[{
+ RowBox[{"F2", "[", "\[CapitalPhi]_", "]"}], "[",
+ RowBox[{"t_", ",", "h_"}], "]"}], ":=",
+ RowBox[{
+ SuperscriptBox["h",
+ RowBox[{"2", "/", "\[CapitalDelta]"}]],
+ RowBox[{"\[CapitalPhi]", "[",
+ RowBox[{"t", " ",
+ SuperscriptBox["h",
+ RowBox[{
+ RowBox[{"-", "1"}], "/", "\[CapitalDelta]"}]]}], "]"}]}]}]}], "Input",
+ CellChangeTimes->{{3.714216533397884*^9, 3.714216534509766*^9}, {
+ 3.714992630182323*^9,
+ 3.714992639533628*^9}},ExpressionUUID->"20f19d40-8f71-46f8-a318-\
+a0585168eb29"],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{
+ RowBox[{"coeff", "[",
+ RowBox[{
+ RowBox[{"F_", "[", "\[ScriptCapitalF]_", "]"}], ",", "R_", ",", "h0_",
+ ",", "f_", ",", "\[Theta]0_", ",",
+ RowBox[{"ass_:",
+ RowBox[{"{", "}"}]}]}], "]"}], "[", "n_", "]"}], ":=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"SeriesCoefficient", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"F", "[", "\[ScriptCapitalF]", "]"}], "[",
+ RowBox[{
+ RowBox[{"R",
+ RowBox[{"(",
+ RowBox[{"1", "-",
+ SuperscriptBox["\[Theta]", "2"]}], ")"}]}], ",",
+ RowBox[{"h0", " ",
+ SuperscriptBox["R", "\[CapitalDelta]"],
+ RowBox[{"f", "[", "\[Theta]", "]"}]}]}], "]"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "\[Theta]0", ",", "n"}], "}"}], ",",
+ RowBox[{"Assumptions", "\[Rule]",
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"R", ">", "0"}], ",",
+ RowBox[{"\[Theta]", ">", "0"}], ",",
+ RowBox[{"\[Theta]c", ">", "1"}], ",",
+ RowBox[{"\[Theta]", "<", "\[Theta]c"}]}], "}"}], ",", "ass"}],
+ "]"}]}]}], "]"}], "/.",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"f", "[", "0", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"Derivative", "[", "m_", "]"}], "[", "f", "]"}], "[", "0",
+ "]"}], "\[RuleDelayed]",
+ RowBox[{"0", "/;",
+ RowBox[{"EvenQ", "[", "m", "]"}]}]}], ",",
+ RowBox[{
+ RowBox[{"f", "[", "\[Theta]c", "]"}], "\[Rule]", "0"}]}], "}"}]}], "/.",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"Derivative", "[", "m_", "]"}], "[", "\[ScriptCapitalF]", "]"}],
+ "[", "0", "]"}], "\[RuleDelayed]",
+ RowBox[{
+ RowBox[{"m", "!"}],
+ RowBox[{"\[ScriptCapitalF]", "[", "m", "]"}]}]}]}]}]], "Input",
+ CellChangeTimes->{{3.713804490404098*^9, 3.713804575731625*^9}, {
+ 3.713804617059729*^9, 3.713804802262732*^9}, {3.713805086437069*^9,
+ 3.713805087761485*^9}, {3.713805360047653*^9, 3.7138054175525007`*^9}, {
+ 3.7138055032059917`*^9, 3.7138055066345863`*^9}, {3.7138055455373096`*^9,
+ 3.713805547201209*^9}, {3.71380560417803*^9, 3.713805605069154*^9}, {
+ 3.713805641936936*^9, 3.713805644083353*^9}, {3.713805677768579*^9,
+ 3.7138056794005527`*^9}, {3.713805797365593*^9, 3.713805804323051*^9}, {
+ 3.7138058557084503`*^9, 3.713805917862014*^9}, {3.7138059745918617`*^9,
+ 3.713805981692251*^9}, {3.713806052016163*^9, 3.713806135527362*^9},
+ 3.7138303636972713`*^9, {3.71422851067774*^9, 3.714228510951538*^9}, {
+ 3.714235902144133*^9, 3.714235903653194*^9}, {3.7154327862100058`*^9,
+ 3.715432790957881*^9}},ExpressionUUID->"f62be0c7-6e67-48ef-9e37-\
+a9f76da76902"],
+
+Cell[BoxData[{
+ RowBox[{
+ RowBox[{"eql", "[",
+ RowBox[{"n_", ",", "m_", ",", "r_"}], "]"}], ":=",
+ RowBox[{
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"coeff", "[",
+ RowBox[{
+ RowBox[{"F1", "[", "Gl", "]"}], ",", "1", ",", "h0", ",", "f", ",",
+ "\[Theta]c", ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ">", "1"}], "}"}]}], "]"}], "[", "n", "]"}],
+ "\[Equal]",
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]fseries", "[",
+ RowBox[{
+ "A", ",", "B", ",", "m0", ",", "h0", ",", "\[Theta]c", ",", "f", ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "r"}], "]"}]}], "]"}], "[", "n",
+ "]"}]}], ")"}], "/.",
+ RowBox[{"f", "\[Rule]",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "m"}],
+ "]"}]}]}]}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{"eqh", "[",
+ RowBox[{"n_", ",", "m_", ",", "r_"}], "]"}], ":=",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"coeff", "[",
+ RowBox[{
+ RowBox[{"F1", "[", "Gh", "]"}], ",", "1", ",", "h0", ",", "f", ",",
+ "0", ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", "<", "1"}], "}"}]}], "]"}], "[", "n", "]"}],
+ "\[Equal]",
+ RowBox[{"SeriesCoefficient", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]f", "[",
+ RowBox[{
+ "A", ",", "B", ",", "m0", ",", "h0", ",", "\[Theta]c", ",", "f", ",",
+
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "r"}], "]"}]}], "]"}], "[",
+ "\[Theta]", "]"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "0", ",", "n"}], "}"}], ",",
+ RowBox[{"Assumptions", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"\[Theta]", ">", "0"}], ",",
+ RowBox[{"h0", ">", "0"}], ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"f", "'"}], "[", "0", "]"}], ">", "0"}], ",",
+ RowBox[{"m0", ">", "0"}]}], "}"}]}]}], "]"}]}], "/.",
+ RowBox[{"f", "\[Rule]",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "m"}],
+ "]"}]}]}]}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{"eqm", "[",
+ RowBox[{"n_", ",", "m_", ",", "r_"}], "]"}], ":=",
+ RowBox[{
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"coeff", "[",
+ RowBox[{
+ RowBox[{"F2", "[", "\[CapitalPhi]", "]"}], ",", "1", ",", "h0", ",",
+ "f", ",", "1"}], "]"}], "[", "n", "]"}], "==",
+ RowBox[{"SeriesCoefficient", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]f", "[",
+ RowBox[{
+ "A", ",", "B", ",", "m0", ",", "h0", ",", "\[Theta]c", ",", "f", ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "r"}], "]"}]}], "]"}], "[",
+ "\[Theta]", "]"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "1", ",", "n"}], "}"}], ",",
+ RowBox[{"Assumptions", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"\[Theta]", ">", "0"}], ",",
+ RowBox[{"h0", ">", "0"}], ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"f", "'"}], "[", "0", "]"}], ">", "0"}], ",",
+ RowBox[{"m0", ">", "0"}]}], "}"}]}]}], "]"}]}], ")"}], "/.",
+ RowBox[{"f", "\[Rule]",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "m"}], "]"}]}]}]}]}], "Input",
+ CellChangeTimes->{{3.713830432409966*^9, 3.713830504946588*^9}, {
+ 3.7138305454404173`*^9, 3.7138305500421*^9}, {3.7138308771739197`*^9,
+ 3.713830877615706*^9}, {3.713830909452053*^9, 3.7138309608613787`*^9}, {
+ 3.71383378581046*^9, 3.713833801775017*^9}, {3.714130485551214*^9,
+ 3.714130523143881*^9}, {3.7148313838292027`*^9, 3.714831393076005*^9}, {
+ 3.714833632393415*^9, 3.7148336942949533`*^9}, {3.7154328259377213`*^9,
+ 3.715432873769432*^9}, {3.715433159266624*^9, 3.715433170651383*^9},
+ 3.715433636825173*^9,
+ 3.715441243066677*^9},ExpressionUUID->"2c0de244-906c-474b-a692-\
+bd5fe702a1b0"],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"Nf", ":=", "14"}], ";",
+ RowBox[{"NY", "=", "4"}], ";"}]], "Input",
+ CellChangeTimes->{{3.714234089654488*^9, 3.714234115594863*^9}, {
+ 3.714237522277643*^9, 3.714237529143321*^9}, {3.714238174543392*^9,
+ 3.714238207037031*^9}, {3.714833890793818*^9, 3.7148339330028563`*^9}, {
+ 3.714834707807682*^9, 3.7148347130470753`*^9}, {3.714838980409649*^9,
+ 3.714838999273652*^9}},ExpressionUUID->"87e7f061-312b-4959-ac46-\
+377a2821fed8"],
+
+Cell[BoxData[{
+ RowBox[{
+ RowBox[{"eqsl", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"eql", "[",
+ RowBox[{"#", ",", "Nf", ",", "NY"}], "]"}], "&"}], "/@",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"Range", "[", "8", "]"}], "-", "1"}], ")"}]}]}],
+ ";"}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{"eqsh", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"eqh", "[",
+ RowBox[{"#", ",", "Nf", ",", "NY"}], "]"}], "&"}], "/@",
+ RowBox[{"(",
+ RowBox[{"2",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"Range", "[", "5", "]"}], "-", "1"}], ")"}]}], ")"}]}]}],
+ ";"}], "\[IndentingNewLine]",
+ RowBox[{
+ RowBox[{"eqsm", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"eqm", "[",
+ RowBox[{"#", ",", "Nf", ",", "NY"}], "]"}], "&"}], "/@",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"Range", "[", "8", "]"}], "-", "1"}], ")"}]}]}],
+ ";"}]}], "Input",
+ CellChangeTimes->{{3.714833304993925*^9, 3.714833335986959*^9}, {
+ 3.714833507091832*^9, 3.714833526852247*^9}, {3.714833616613831*^9,
+ 3.71483362647795*^9}, {3.7148337096633883`*^9, 3.714833713847344*^9}, {
+ 3.714833744167742*^9, 3.7148337447918653`*^9}, {3.715432973805468*^9,
+ 3.715432974041366*^9}},ExpressionUUID->"2d580de8-c541-48c5-9a4e-\
+6c4407a77308"],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"sol1", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"FindRoot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"eqsl", "[",
+ RowBox[{"[",
+ RowBox[{";;", "3"}], "]"}], "]"}], ",",
+ RowBox[{"eqsm", "[",
+ RowBox[{"[",
+ RowBox[{";;", "3"}], "]"}], "]"}], ",",
+ RowBox[{"eqsh", "[",
+ RowBox[{"[",
+ RowBox[{"2", ";;", "2"}], "]"}], "]"}]}], "]"}], "/.",
+ RowBox[{"\[Theta]c", "\[Rule]",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}]}]}], "/.", "#"}], ",",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"A", ",", "10"}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"h0", ",", "1"}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"m0", ",", "0"}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], ",", "0"}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"xc", ",",
+ RowBox[{"-", "2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], ",", "0"}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], ",", "0"}], "}"}]}], "}"}], "+",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"0", ",",
+ RowBox[{"5",
+ RowBox[{"RandomReal", "[",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"-", "1"}], ",", "1"}], "}"}], "]"}]}]}], "}"}],
+ "&"}], "/@",
+ RowBox[{"Range", "[", "7", "]"}]}], ")"}]}], ",",
+ RowBox[{"MaxIterations", "\[Rule]", "1000"}]}], "]"}], ",", "#"}],
+ "]"}], "&"}], "@",
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}], ",",
+ RowBox[{"\[Lambda]", "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "\[Rule]", "0"}]}], "}"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"a", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "2", ",", "14"}], "}"}]}], "]"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"b", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "3", ",", "4"}], "}"}]}], "]"}]}],
+ "]"}]}]}]], "Input",
+ CellChangeTimes->{{3.714830189789303*^9, 3.714830247113008*^9}, {
+ 3.714830370291354*^9, 3.7148304020998793`*^9}, {3.714830438333508*^9,
+ 3.714830492396922*^9}, {3.7148315519116983`*^9, 3.714831586639285*^9}, {
+ 3.714831775402582*^9, 3.714831788201981*^9}, {3.714832864307414*^9,
+ 3.714832868444124*^9}, {3.714833031190621*^9, 3.714833044877865*^9}, {
+ 3.714834919749164*^9, 3.71483502667636*^9}, {3.714836043615963*^9,
+ 3.714836044589387*^9}, {3.714836290637068*^9, 3.714836324225964*^9}, {
+ 3.714836388083845*^9, 3.714836388262471*^9}, {3.714837415845621*^9,
+ 3.714837426131487*^9}, {3.7148377212331553`*^9, 3.714837747792254*^9}, {
+ 3.7148392507136106`*^9, 3.714839277104413*^9}, {3.71483978480295*^9,
+ 3.714839809483008*^9}, {3.714839847371202*^9, 3.714839847406069*^9}, {
+ 3.71483988740263*^9, 3.714840005018692*^9}, {3.714840743913506*^9,
+ 3.7148407501038113`*^9}, {3.714862699130905*^9, 3.714862699795854*^9}, {
+ 3.714862738005735*^9, 3.71486273821253*^9}, {3.71486289724051*^9,
+ 3.714862979688342*^9}, {3.714863014778574*^9, 3.714863050057831*^9}, {
+ 3.714863159717188*^9, 3.7148631737709723`*^9}, {3.714865798463542*^9,
+ 3.714865812385675*^9}, {3.714865849921479*^9, 3.714866147921616*^9},
+ 3.714866191109682*^9, {3.714866464163389*^9, 3.714866480144454*^9}, {
+ 3.7148666669176483`*^9, 3.714866669139514*^9}, {3.714903915141719*^9,
+ 3.7149039311237593`*^9}, {3.7149046080389223`*^9, 3.714904609087657*^9}, {
+ 3.714905323493993*^9, 3.714905334954818*^9}, {3.714906611952711*^9,
+ 3.7149066120629663`*^9}, {3.714906866596887*^9, 3.714906961540287*^9}, {
+ 3.7149071038967953`*^9, 3.714907104412897*^9}, {3.7149923930685177`*^9,
+ 3.714992452722431*^9}, {3.714992654434724*^9, 3.714992661113427*^9}, {
+ 3.714992698244532*^9, 3.7149927088787117`*^9}, {3.7149927510117188`*^9,
+ 3.714992795766477*^9}, {3.714992843752101*^9, 3.714992844373947*^9}, {
+ 3.7149991209025583`*^9, 3.7149991752794027`*^9}, {3.714999246991078*^9,
+ 3.714999269005369*^9}, {3.714999341558049*^9, 3.7149993418802223`*^9}, {
+ 3.714999448571619*^9, 3.7149994488392973`*^9}, {3.7149997002343683`*^9,
+ 3.714999700405889*^9}, {3.7149999100114326`*^9, 3.714999965622673*^9}, {
+ 3.715000333336094*^9, 3.7150003519450274`*^9}, {3.7150070937897377`*^9,
+ 3.715007146386731*^9}, {3.715007310448257*^9, 3.7150073258686323`*^9}, {
+ 3.715007418053814*^9, 3.715007433977274*^9}, {3.715007485969714*^9,
+ 3.71500748626674*^9}, {3.715007610422008*^9, 3.715007645328251*^9},
+ 3.715007730432694*^9, 3.715007796730187*^9, {3.715007870534253*^9,
+ 3.715007897053793*^9}, {3.715007964185762*^9, 3.715007987473125*^9},
+ 3.71500808240378*^9, {3.7150081322619*^9, 3.715008133401146*^9}, {
+ 3.715008182582288*^9, 3.715008183020303*^9}, {3.715008317908987*^9,
+ 3.715008335583222*^9}, {3.7150085862079563`*^9, 3.7150086653393517`*^9}, {
+ 3.7150088206256533`*^9, 3.715008825537745*^9}, {3.715359332388937*^9,
+ 3.715359361532488*^9}, {3.7153594920147133`*^9, 3.715359492608306*^9}, {
+ 3.715359532303096*^9, 3.7153595531693163`*^9}, {3.715359628424592*^9,
+ 3.715359628600811*^9}, {3.715359794477854*^9, 3.715359797773241*^9}, {
+ 3.715369111630156*^9, 3.715369167534336*^9}, {3.715369206716189*^9,
+ 3.715369254736014*^9}, {3.715369289042774*^9, 3.715369324177082*^9}, {
+ 3.715369396026218*^9, 3.715369461504559*^9}, {3.715369533436697*^9,
+ 3.715369550636325*^9}, {3.715369585854053*^9, 3.715369669350461*^9}, {
+ 3.715369700920062*^9, 3.715369713222768*^9}, {3.715369752443164*^9,
+ 3.715369795768187*^9}, {3.7153783486290703`*^9, 3.715378363055706*^9}, {
+ 3.715379103573955*^9, 3.7153791036833467`*^9}, {3.7153792221685953`*^9,
+ 3.715379291750197*^9}, {3.715379325103009*^9, 3.715379395127963*^9}, {
+ 3.7153794533719177`*^9, 3.715379542562405*^9}, {3.715379708253914*^9,
+ 3.7153797096451397`*^9}, {3.7153798148789167`*^9, 3.715379844847281*^9}, {
+ 3.715379886280575*^9, 3.715379886560041*^9}, {3.715379972458128*^9,
+ 3.715379984145411*^9}, {3.71538001875729*^9, 3.715380019652261*^9}, {
+ 3.715380131884206*^9, 3.7153801348598337`*^9}, {3.715380765078636*^9,
+ 3.7153807651660337`*^9}, {3.71538090726449*^9, 3.715380907360579*^9}, {
+ 3.715381044282898*^9, 3.7153810464824877`*^9}, {3.715381447569056*^9,
+ 3.715381454353322*^9}, {3.715382076114991*^9, 3.715382076402755*^9}, {
+ 3.715382185117015*^9, 3.715382199860681*^9}, {3.715382668012575*^9,
+ 3.715382668108261*^9}, {3.715383209221393*^9, 3.715383209300844*^9}, {
+ 3.715410730977353*^9, 3.7154107310809917`*^9}, {3.715434037148348*^9,
+ 3.715434125542151*^9}, {3.715434356404633*^9, 3.7154344129072323`*^9}, {
+ 3.715435436675576*^9, 3.71543546623761*^9}, {3.715440776441358*^9,
+ 3.715440922657564*^9}, {3.71544104675275*^9, 3.7154411404076366`*^9}, {
+ 3.715441976148597*^9, 3.715442019608016*^9}, {3.715442111994524*^9,
+ 3.715442112380209*^9}, {3.715442224083548*^9, 3.715442236351453*^9}, {
+ 3.715442586025577*^9, 3.715442641002995*^9}, {3.715443282766872*^9,
+ 3.715443284866275*^9}, {3.71544685480028*^9, 3.7154469183621407`*^9}, {
+ 3.715447846625729*^9, 3.71544786437013*^9}, {3.7154479492683153`*^9,
+ 3.71544798710573*^9}, {3.715451027346424*^9, 3.715451035217737*^9}, {
+ 3.715451083457996*^9, 3.71545108365764*^9}, {3.715451447751609*^9,
+ 3.7154514479191236`*^9}, {3.7154516793229933`*^9, 3.715451679693336*^9}, {
+ 3.715451809861693*^9, 3.715451851253496*^9}, {3.7154518990064383`*^9,
+ 3.7154519777354813`*^9}, {3.715452020987258*^9, 3.715452077843337*^9}, {
+ 3.71545299266383*^9, 3.715453064424471*^9}, {3.715453120057275*^9,
+ 3.7154531339139767`*^9}, {3.715454639512848*^9, 3.7154546486676197`*^9}, {
+ 3.715454726617996*^9, 3.71545478537971*^9}, {3.715454816012096*^9,
+ 3.715454918877314*^9}, {3.715454949101715*^9, 3.715454996430983*^9}, {
+ 3.7154550600715647`*^9, 3.715455091319504*^9}, {3.715455123088262*^9,
+ 3.715455139088612*^9}, {3.71545548533703*^9, 3.715455528526205*^9}, {
+ 3.7154556015359*^9, 3.715455611135558*^9}, {3.7154556618889112`*^9,
+ 3.71545567343254*^9}, {3.71545679012512*^9, 3.715456821871161*^9}, {
+ 3.71545686133996*^9, 3.7154569635490828`*^9}, {3.715457005950111*^9,
+ 3.715457022581764*^9}, 3.71545711306386*^9, {3.715457169034421*^9,
+ 3.715457190224792*^9}},ExpressionUUID->"bab4ccdf-6e89-4a30-9470-\
+53c4cf8bc661"],
+
+Cell[BoxData[
+ TemplateBox[{
+ "FindRoot","jsing",
+ "\"Encountered a singular Jacobian at the point \
+\\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\\\"A\\\", \\\",\\\", \\\"h0\\\", \\\",\\\
+\", \\\"m0\\\", \\\",\\\", RowBox[{\\\"b\\\", \\\"[\\\", \\\"1\\\", \
+\\\"]\\\"}], \\\",\\\", \\\"xc\\\", \\\",\\\", RowBox[{\\\"a\\\", \\\"[\\\", \
+\\\"1\\\", \\\"]\\\"}], \\\",\\\", RowBox[{\\\"b\\\", \\\"[\\\", \\\"2\\\", \
+\\\"]\\\"}]}], \\\"}\\\"}]\\) = \\!\\(\\*RowBox[{\\\"{\\\", \
+RowBox[{RowBox[{RowBox[{\\\"-\\\", \\\"5.251915548102332`*^-12\\\"}], \\\"+\\\
+\", RowBox[{\\\"1.0646089579789665`*^-11\\\", \\\" \\\", \
+\\\"\[ImaginaryI]\\\"}]}], \\\",\\\", RowBox[{RowBox[{\\\"-\\\", \
+\\\"0.0033230122558532025`\\\"}], \\\"+\\\", \
+RowBox[{\\\"0.0035107552399092773`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \
+\\\",\\\", RowBox[{RowBox[{\\\"0.0017608336652035032`\\\", \\\"\
+\[VeryThinSpace]\\\"}], \\\"+\\\", RowBox[{\\\"15.097348647439377`\\\", \\\" \
+\\\", \\\"\[ImaginaryI]\\\"}]}], \\\",\\\", \
+RowBox[{\\\"2.8011211274737415`*^-6\\\", \\\"+\\\", \
+RowBox[{\\\"8.608768008002153`*^-6\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \
+\\\",\\\", RowBox[{RowBox[{\\\"-\\\", \\\"15.00127017932785`\\\"}], \
+\\\"+\\\", RowBox[{\\\"1.4017501703925137`\\\", \\\" \\\", \
+\\\"\[ImaginaryI]\\\"}]}], \\\",\\\", RowBox[{RowBox[{\\\"-\\\", \
+\\\"1.0006919449006026`\\\"}], \\\"+\\\", RowBox[{\\\"0.00018909488922159398`\
+\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \\\",\\\", \
+RowBox[{RowBox[{\\\"-\\\", \\\"4.747676776670433`*^-6\\\"}], \\\"-\\\", \
+RowBox[{\\\"0.000012453519381181481`\\\", \\\" \\\", \
+\\\"\[ImaginaryI]\\\"}]}]}], \\\"}\\\"}]\\). Try perturbing the initial \
+point(s).\"",2,1041,822,30811906196057744771,"Local"},
+ "MessageTemplate"]], "Message", "MSG",
+ CellChangeTimes->{{3.71545731909048*^9,
+ 3.715457326387158*^9}},ExpressionUUID->"896e2005-8c75-475c-bb89-\
+4147ee4bae6c"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"A", "\[Rule]",
+ RowBox[{
+ RowBox[{"-", "5.251915548102332`*^-12"}], "+",
+ RowBox[{"1.0646089579789665`*^-11", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{"h0", "\[Rule]",
+ RowBox[{
+ RowBox[{"-", "0.0033230122558532025`"}], "+",
+ RowBox[{"0.0035107552399092773`", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{"m0", "\[Rule]",
+ RowBox[{"0.0017608336652035032`", "\[VeryThinSpace]", "+",
+ RowBox[{"15.097348647439377`", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "\[Rule]",
+ RowBox[{"2.8011211274737415`*^-6", "+",
+ RowBox[{"8.608768008002153`*^-6", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{"xc", "\[Rule]",
+ RowBox[{
+ RowBox[{"-", "15.00127017932785`"}], "+",
+ RowBox[{"1.4017501703925137`", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "\[Rule]",
+ RowBox[{
+ RowBox[{"-", "1.0006919449006026`"}], "+",
+ RowBox[{"0.00018909488922159398`", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "\[Rule]",
+ RowBox[{
+ RowBox[{"-", "4.747676776670433`*^-6"}], "-",
+ RowBox[{"0.000012453519381181481`", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}], ",",
+ RowBox[{"\[Lambda]", "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "6", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "7", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "8", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "9", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "10", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "11", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "12", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "13", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "14", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "3", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "4", "]"}], "\[Rule]", "0"}]}], "}"}]], "Output",
+ CellChangeTimes->{{3.715455128718795*^9, 3.715455152646241*^9}, {
+ 3.7154554806831007`*^9, 3.715455529193289*^9}, {3.715455601957469*^9,
+ 3.715455613308634*^9}, {3.715455673802623*^9, 3.715455675973065*^9}, {
+ 3.715456790660944*^9,
+ 3.7154573263928747`*^9}},ExpressionUUID->"d8d72fc4-921f-4a44-a03a-\
+62c11e1bcb12"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]f", "[",
+ RowBox[{"A", ",", "B", ",", "m0", ",", "h0", ",",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",",
+ RowBox[{"ff", "[",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",", "a", ",", "Nf"}], "]"}],
+ ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}], "[",
+ "\[Theta]", "]"}], "/.", "sol1"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ")"}]}], "-", ".1"}], "/.",
+ "sol1"}], ",",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}], "+", ".1"}], "/.", "sol1"}]}], "}"}],
+ ",",
+ RowBox[{"GridLines", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], "/.", "sol1"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ")"}]}], "/.", "sol1"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}]}], "}"}], ",",
+ "None"}], "}"}]}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7137866834085007`*^9, 3.713786686617977*^9}, {
+ 3.7137867217375593`*^9, 3.713786743847704*^9}, {3.7137868182565737`*^9,
+ 3.713786841939253*^9}, {3.713786924527413*^9, 3.713786974385107*^9}, {
+ 3.713787042137055*^9, 3.713787053211768*^9}, {3.7137870901431293`*^9,
+ 3.713787090608033*^9}, {3.713787341082642*^9, 3.713787364514805*^9}, {
+ 3.713787741825034*^9, 3.713787770799416*^9}, {3.713787886687026*^9,
+ 3.7137878869430323`*^9}, {3.7137888266494427`*^9, 3.713788842463161*^9}, {
+ 3.713792007167099*^9, 3.713792021787199*^9}, 3.7137922767568893`*^9, {
+ 3.7137944309219913`*^9, 3.713794435906933*^9}, {3.713796878199485*^9,
+ 3.713796887244006*^9}, {3.713796921081345*^9, 3.713796926722969*^9},
+ 3.7137971807617273`*^9, {3.713827438749836*^9, 3.7138274538037987`*^9}, {
+ 3.713827581712484*^9, 3.713827582113104*^9}, {3.714130909227974*^9,
+ 3.714130935160301*^9}, {3.7141311982159986`*^9, 3.714131202051044*^9}, {
+ 3.7141312471974087`*^9, 3.714131274688491*^9}, {3.714131759254038*^9,
+ 3.714131761606591*^9}, {3.714132524986391*^9, 3.7141325265937033`*^9}, {
+ 3.7141337230994473`*^9, 3.714133746090554*^9}, {3.7141354101671886`*^9,
+ 3.714135444491536*^9}, {3.7141356514052277`*^9, 3.7141357777377653`*^9}, {
+ 3.714136651714642*^9, 3.714136680207863*^9}, {3.714137789157419*^9,
+ 3.714137796981852*^9}, 3.7141379430983753`*^9, {3.714138196842355*^9,
+ 3.714138197292419*^9}, {3.714222654297492*^9, 3.7142226816813173`*^9}, {
+ 3.7142241379694967`*^9, 3.714224153092409*^9}, {3.714230783232278*^9,
+ 3.714230783558969*^9}, {3.714230818213155*^9, 3.714230826884192*^9}, {
+ 3.7142341440952263`*^9, 3.714234196383806*^9}, {3.714234229569173*^9,
+ 3.714234230040387*^9}, {3.714831591839006*^9, 3.7148315929177227`*^9}, {
+ 3.715434418590646*^9, 3.715434426546102*^9}, {3.715435478227995*^9,
+ 3.715435512599924*^9}, {3.715452107460113*^9,
+ 3.715452109435341*^9}},ExpressionUUID->"5d18036a-1593-48ad-9b4f-\
+438b8c213ae3"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{}, {},
+ TagBox[{
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6],
+ Opacity[1.], LineBox[CompressedData["
+1:eJw1mnk0ld/3x29CEkUpQ8pNkpBUEopDhkQhpaSUTAnlppQhdUOUSkSZhygR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+ "]]}},
+ Annotation[#, "Charting`Private`Tag$218145#1"]& ]}}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}},
+ GridLines->{{{1.22313016014843, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}, {-1.22313016014843, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}}, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-1.32313016014843, 1.32313016014843}, {-0.28162388670233085`,
+ 0.22786880976130774`}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.7137868428211517`*^9, {3.713786876436328*^9, 3.713786880892294*^9}, {
+ 3.713786911917448*^9, 3.713786975636983*^9}, {3.713787043580344*^9,
+ 3.713787054112487*^9}, {3.713787091884612*^9, 3.7137871303293543`*^9}, {
+ 3.7137873441971073`*^9, 3.713787366054718*^9}, {3.713787744869535*^9,
+ 3.713787772248114*^9}, {3.713787873953774*^9, 3.713787896994111*^9}, {
+ 3.7137880959645233`*^9, 3.7137881089961042`*^9}, 3.71378817266117*^9, {
+ 3.713788840185727*^9, 3.713788843629333*^9}, {3.713792008614105*^9,
+ 3.713792022973323*^9}, 3.713792277625108*^9, {3.7137944343878193`*^9,
+ 3.71379443703505*^9}, {3.713796880744809*^9, 3.713796888383353*^9}, {
+ 3.7137969255232973`*^9, 3.713796927819489*^9}, 3.71379718348458*^9, {
+ 3.713827434665268*^9, 3.713827443488235*^9}, 3.713827482758223*^9, {
+ 3.71382758304496*^9, 3.7138276201271763`*^9}, {3.714131200316176*^9,
+ 3.7141312045699244`*^9}, {3.714131254215077*^9, 3.714131277251986*^9},
+ 3.7141317655386057`*^9, {3.714132521860244*^9, 3.714132531422585*^9},
+ 3.714132594800725*^9, {3.714133724809444*^9, 3.714133751537928*^9}, {
+ 3.714135421393217*^9, 3.714135451507843*^9}, {3.71413567570129*^9,
+ 3.7141356998242083`*^9}, {3.714135733025814*^9, 3.7141357840691547`*^9},
+ 3.714136120052483*^9, {3.7141366600281467`*^9, 3.7141366864873533`*^9}, {
+ 3.7141377784504833`*^9, 3.7141377991028967`*^9}, 3.7141379539677057`*^9,
+ 3.714138050818244*^9, {3.714138200060054*^9, 3.7141382064545603`*^9},
+ 3.7142171461980963`*^9, 3.7142188447804413`*^9, 3.714222440250145*^9, {
+ 3.714222657733528*^9, 3.714222683268447*^9}, {3.714224133382696*^9,
+ 3.7142241549538307`*^9}, 3.714225354640583*^9, 3.714225714002556*^9,
+ 3.714227554313645*^9, 3.714227908653563*^9, 3.71422857576338*^9,
+ 3.714228696834524*^9, 3.71422909104809*^9, 3.714229141599442*^9,
+ 3.7142292486960983`*^9, {3.714230780456892*^9, 3.714230785679422*^9}, {
+ 3.7142308157519608`*^9, 3.714230828606016*^9}, 3.7142340780685377`*^9, {
+ 3.714234224600782*^9, 3.714234237139142*^9}, 3.714234307673902*^9,
+ 3.7142348074233837`*^9, 3.714235165607085*^9, 3.714237644331621*^9,
+ 3.71423838237761*^9, 3.714239395610619*^9, {3.7143082194063873`*^9,
+ 3.714308247142568*^9}, {3.714308319830029*^9, 3.7143083930961514`*^9}, {
+ 3.714308799382699*^9, 3.714308818140257*^9}, {3.7143090986415577`*^9,
+ 3.714309199096778*^9}, 3.714309603240282*^9, 3.7143097173721027`*^9,
+ 3.714310140061274*^9, 3.714310672380919*^9, 3.714310721292274*^9,
+ 3.71439357126875*^9, {3.7143937330438223`*^9, 3.714393762637719*^9},
+ 3.7143937939425077`*^9, 3.714400449835739*^9, 3.714739171437193*^9,
+ 3.7147392613657093`*^9, 3.714755299481934*^9, 3.7148067784238777`*^9,
+ 3.714807443165606*^9, 3.714808174359345*^9, 3.714808692060326*^9,
+ 3.7148090847780457`*^9, 3.71483049403199*^9, 3.7148315942188587`*^9,
+ 3.7148317908338337`*^9, 3.714832871422695*^9, 3.714833048025202*^9,
+ 3.7148350215333233`*^9, 3.7148350520243893`*^9, 3.714836047598082*^9, {
+ 3.714836304567871*^9, 3.714836327074108*^9}, 3.7148363910890007`*^9,
+ 3.7148374291199207`*^9, {3.714837724270095*^9, 3.714837750461904*^9},
+ 3.714839281594843*^9, 3.714839485997526*^9, {3.7148399217687073`*^9,
+ 3.714840006646434*^9}, 3.714840752854959*^9, 3.714862651360503*^9,
+ 3.7148627021396103`*^9, 3.714862740801112*^9, {3.714862969293866*^9,
+ 3.7148629824280033`*^9}, 3.714863054085867*^9, 3.71486317733552*^9,
+ 3.714865815026454*^9, 3.7148658759899273`*^9, 3.714865938320684*^9,
+ 3.714866099372448*^9, {3.714906902368848*^9, 3.714906964342436*^9},
+ 3.7149071068285093`*^9, 3.714992401471239*^9, 3.7149924647613163`*^9,
+ 3.71499272340639*^9, {3.714992783201962*^9, 3.714992810689834*^9},
+ 3.714992857604124*^9, 3.714998519298162*^9, 3.715000485307694*^9,
+ 3.7150072939574223`*^9, 3.715007338683172*^9, 3.715008679832819*^9,
+ 3.7150087425044003`*^9, 3.715093138103307*^9, 3.715097504769623*^9,
+ 3.715097672970394*^9, 3.715355281265408*^9, 3.715359544903166*^9,
+ 3.715359799972622*^9, 3.715368503925208*^9, 3.715369266042727*^9,
+ 3.7153696466716003`*^9, 3.715369680270297*^9, 3.7153697982211237`*^9,
+ 3.715369833127049*^9, 3.7153792367576237`*^9, 3.715379353669621*^9,
+ 3.7153794693369417`*^9, 3.7153799919059668`*^9, 3.7153800219739523`*^9,
+ 3.715380137520944*^9, 3.7153807680415697`*^9, 3.715380909939959*^9,
+ 3.715381048917873*^9, 3.7153820791572447`*^9, 3.715382202952688*^9,
+ 3.715382670493622*^9, 3.715383212766765*^9, 3.715410733790126*^9,
+ 3.715434432805786*^9, {3.715435480425231*^9, 3.7154355167695847`*^9},
+ 3.715440740965666*^9, 3.7154407998115053`*^9, 3.715441119796392*^9,
+ 3.7154422577552023`*^9, {3.715442624528613*^9, 3.715442652616219*^9},
+ 3.715443297111712*^9, {3.71544691217336*^9, 3.715446920867193*^9},
+ 3.715447868338532*^9, 3.715447959581284*^9, 3.715447990198908*^9,
+ 3.715451037879966*^9, 3.715451085709293*^9, 3.715451268747357*^9, {
+ 3.715451425279813*^9, 3.715451449977178*^9}, 3.715451681876877*^9,
+ 3.715451812508127*^9, {3.715452089216749*^9, 3.715452110724691*^9},
+ 3.7154531408357353`*^9,
+ 3.715455681690918*^9},ExpressionUUID->"0a760a5b-f99a-4333-a99e-\
+702cc975c3f1"]
+}, Open ]],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"func", "=",
+ RowBox[{"Sort", "@",
+ RowBox[{"(",
+ RowBox[{"Reverse", "/@",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{"h0", " ",
+ RowBox[{
+ RowBox[{
+ RowBox[{"ff", "[",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",", "a", ",", "Nf"}],
+ "]"}], "[", "\[Theta]", "]"}], "/",
+ SuperscriptBox[
+ RowBox[{"Abs", "[",
+ RowBox[{"1", "-",
+ SuperscriptBox["\[Theta]", "2"]}], "]"}],
+ "\[CapitalDelta]"]}]}], "/.", "sol1"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "1.0001", ",",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}], "-", "0.0001"}], "/.", "sol1"}],
+ ",", "0.0001"}], "}"}]}], "]"}]}], ")"}]}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.715438683586855*^9, 3.715438727752768*^9}, {
+ 3.715450948431397*^9,
+ 3.715450951329091*^9}},ExpressionUUID->"f1956bd9-2ff8-4ff2-8b1f-\
+1105cefe3d5c"],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"ffunc", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[", "1", "]"}], "]"}], ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-",
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "2"], "-", "1"}], ")"}],
+ RowBox[{"-", "2"}]]}],
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]f", "[",
+ RowBox[{"A", ",", "B", ",", "m0", ",", "h0", ",",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",",
+ RowBox[{"ff", "[",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",", "a", ",", "Nf"}],
+ "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}], "[",
+
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "]"}]}], "/.", "sol1"}]}], "}"}],
+ "&"}], "/@", "func"}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.7154395411410637`*^9, 3.715439547951919*^9}, {
+ 3.7154509571994677`*^9, 3.715450957295442*^9}, {3.715452130641513*^9,
+ 3.7154521383617363`*^9}, {3.71545238798977*^9,
+ 3.715452389078702*^9}},ExpressionUUID->"a9345cfd-e9af-443d-8343-\
+98dcfbc98e00"],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Show", "[",
+ RowBox[{
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ",", "B", ",",
+ RowBox[{
+ RowBox[{"\[ScriptCapitalM]0", "/", "B"}], "/",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ")"}]}]}], "]"}], "[", "X",
+ "]"}]}], ",",
+ RowBox[{"{",
+ RowBox[{"X", ",", "0.01", ",", "1300"}], "}"}]}], "]"}], ",",
+ RowBox[{"ListPlot", "[", "ffunc", "]"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.715450826704771*^9, 3.715450859006007*^9}, {
+ 3.7154508983426113`*^9, 3.715450925088727*^9}, {3.71545096479988*^9,
+ 3.715450991631981*^9}, {3.7154510502434196`*^9, 3.7154510502889957`*^9}, {
+ 3.715451116746738*^9,
+ 3.715451121714212*^9}},ExpressionUUID->"71c61167-070c-49a4-8279-\
+f285a7e2b598"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{{}, {},
+ TagBox[{
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6],
+ Opacity[1.], LineBox[CompressedData["
+1:eJwVynk4lAkAx/EpW1aOttqdcVYeK83W9pRJk8T8aKcDOWZqRSVGyZFyFpEZ
+ZpYZNMbMq8b9vpVqCYuKRxS7SlGqJ8c2jKV0oCyr3Zaubf/4Pp9/vpaCI7wD
+s2k02vbP/a+EpbBsl0o43JbOlhJTGUczY9tzZrmG007j+lYYDnIMGi5VCHtG
+OE4VL3p1uv7klOaFmhyumOZ4mbIvWfZ84kTpbfV8ptZDpfZsSu28r+DjzB4l
+5Sa4ayRO3LNtKVy1lXXJMiZOyZjpHRdX44fysOLXafYovf9afnm5M7R6Id0B
+aldcW1GNimwe1CzDVbwWPtj1T3wqV++B/WPRwbl0P9wzGB7/ZUSAHRYCZ4Pj
+AfCwoWkH14fAu96qKrwyCLNjFi4RO0Zg3Zp7hsdtDqIgM9WG5R+F3GfiC96K
+MOjnz7ivZcTCQeJHCa9HgPXA5ere80exX2SwuVIdiQShZvxCVALu1SaOta2N
+xkN9Z92MBUl4I4ladet2DMbfpjAbLiTDiNs3YiaMQ1Dz/tDRRhHcZnZ0mxsf
+Q6BZsIFgfiqSil3s4x7Fg504Z7tWKEb44NUNNWnHYcvb5m8xJsEJhsOhfPMk
+2EY+ybHmpCHVkUXXdJ1A8Tuph1N1Osp2OUy0HhGC6+we0a0vQ1D1bkp8SoTZ
+C6z+VUky4DVnrwkjKgX8lsAn+W8z0ckPZtIcUzHAJbKv8E7iVt7Pf39tKsav
+Wutew7tyeGre+ApfirHRzjz+LF2BulAdw/4aCXRX2g+sblUgb8Itab/8J6TY
+cvpeinJgEF0odtmdBpVeyrrwNUpMjy7b4m6fDvHlxCqrSSVu1sT6bl0kxXDy
+y+DWChWs4tp18gek8C+h2Eb+BGZW+YUxrskwb+kSZr5FLoKeToaMSjNgmufR
+cP1BLsqfu1+t4mXiR0FhZJnoFA4NDd0gv89CNLfq8VPOadzoSQ58MZ2FhbqZ
+LQV/nUbWbztv+PaehCVbQZVfVmO0bO0Vy/NyvFPbx68My4PNUM90X2Q2qnat
+/Cgwz8eRdN8PAcsUkIiqI95r86Eq6LjzMUsBmzue2gllAWLL/WJt3ysQ+OD2
+FW+fQrQK3DbvC81BXeWITrBxEfYyMLzufg6czsz5p/luEWrbvAPOOSoR2cu/
+rVQUgxd38GLjOSUCg6YnyS0lCGgfYHTqqXCfrBzd/q4EK8xiDsQdU0EPXQ8d
+95HghOoL27QqGDN5CGsg8Tx0KkDxhwpmC+h5sxpJyMP6XHyHVBDbjU+pm0j0
+h5fPHRtWISdOU9XWTCLhsJvc6JUKI2eKYN1GojYms2jnjAo+XqU3Bx+RsEnW
+b3xKJxBfGLnH9zWJzuSpokvGBByrAt5OjJM4KuwTxpkS0CzeR0gnSLSKyjfp
+LibQ75fWVTdFIlDs1vGdNQE+KyGCPkOiQJqpiWIRGBxrm9U1l4KLLKZxgx2B
+VwxpU/iXFEZlu4t12AQONfETdeZRWJ+5QpC7gcAXTQYfbA0pdJ/sGKl3JrAx
+g2aiXEQhSV7bkbKJgNMJxjPmNxS+zS6ocOUS0E9cX9tCpxCtCI/q3/r5Hzy9
+c9KEgkkOn1/qSsD/VTdTZkahOcfB7rA7gWp3C5qlBYUQpRWD7UGg7FHE7/WL
+KcxX6U9/8iTQxG+r8VpK4T+Tpz8B
+ "]]}},
+ Annotation[#,
+ "Charting`Private`Tag$218189#1"]& ]}}, {}, {}}, {{}, {{{}, {
+ {RGBColor[0.368417, 0.506779, 0.709798], PointSize[
+ 0.0055000000000000005`], AbsoluteThickness[1.6],
+ PointBox[CompressedData["
+1:eJwU13c41e8fx/FjV6SoJEmDRBEtEXp9oihRKFIiUooSDSu+JURFIZQ9MsrK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+
+ "]]}}, {}}}, {}, {}, {{}, {}}, {{}, {}}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{0.01, 1300}, {0., 2192.157613972637}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{{3.7154509122064047`*^9, 3.715450925506069*^9}, {
+ 3.7154509774830713`*^9, 3.715450992096867*^9}, {3.715451040855282*^9,
+ 3.715451050804892*^9}, 3.715451087308104*^9, 3.7154511219724483`*^9, {
+ 3.715451426587015*^9, 3.7154514522076674`*^9}, 3.715451685142829*^9,
+ 3.715451813382928*^9, {3.7154520907785187`*^9, 3.715452099866118*^9}, {
+ 3.715452131947493*^9, 3.715452145916791*^9}, 3.715452390004622*^9,
+ 3.715453160141097*^9,
+ 3.7154556949931498`*^9},ExpressionUUID->"f7afc1a4-5238-4a50-ab2c-\
+5c276f9869fb"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"sol2", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"FindRoot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"eqsl", "[",
+ RowBox[{"[",
+ RowBox[{";;", "3"}], "]"}], "]"}], ",",
+ RowBox[{"eqsm", "[",
+ RowBox[{"[",
+ RowBox[{";;", "3"}], "]"}], "]"}]}], "]"}], "/.",
+ RowBox[{"\[Theta]c", "\[Rule]",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}]}]}], "/.", "#"}], ",",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"A", ",",
+ RowBox[{"A", "/.", "sol1"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"xc", ",",
+ RowBox[{"xc", "/.", "sol1"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"m0", ",",
+ RowBox[{"m0", "/.", "sol1"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "/.", "sol1"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"h0", ",",
+ RowBox[{"h0", "/.", "sol1"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "/.", "sol1"}]}], "}"}]}], "}"}],
+ "+",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"0", ",",
+ RowBox[{"0.1",
+ RowBox[{"RandomReal", "[",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"-", "1"}], ",", "1"}], "}"}], "]"}]}]}], "}"}],
+ "&"}], "/@",
+ RowBox[{"Range", "[", "6", "]"}]}], ")"}]}], ",",
+ RowBox[{"MaxIterations", "\[Rule]", "1000"}]}], "]"}], ",", "#"}],
+ "]"}], "&"}], "@",
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}], ",",
+ RowBox[{"\[Lambda]", "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "\[Rule]", "0"}]}], "}"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"a", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "2", ",", "14"}], "}"}]}], "]"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"b", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "2", ",", "4"}], "}"}]}], "]"}]}],
+ "]"}]}]}]], "Input",
+ CellChangeTimes->{{3.714830189789303*^9, 3.714830247113008*^9}, {
+ 3.714830370291354*^9, 3.7148304020998793`*^9}, {3.714830438333508*^9,
+ 3.714830492396922*^9}, {3.7148315519116983`*^9, 3.714831586639285*^9}, {
+ 3.714831775402582*^9, 3.714831788201981*^9}, {3.714832864307414*^9,
+ 3.714832868444124*^9}, {3.714833031190621*^9, 3.714833044877865*^9}, {
+ 3.714834919749164*^9, 3.71483502667636*^9}, {3.714836043615963*^9,
+ 3.714836044589387*^9}, {3.714836290637068*^9, 3.714836324225964*^9}, {
+ 3.714836388083845*^9, 3.714836388262471*^9}, {3.714837415845621*^9,
+ 3.714837426131487*^9}, {3.7148377212331553`*^9, 3.714837747792254*^9}, {
+ 3.7148392507136106`*^9, 3.714839277104413*^9}, {3.71483978480295*^9,
+ 3.714839809483008*^9}, {3.714839847371202*^9, 3.714839847406069*^9}, {
+ 3.71483988740263*^9, 3.714840005018692*^9}, {3.714840743913506*^9,
+ 3.7148407501038113`*^9}, {3.714862699130905*^9, 3.714862699795854*^9}, {
+ 3.714862738005735*^9, 3.71486273821253*^9}, {3.71486289724051*^9,
+ 3.714862979688342*^9}, {3.714863014778574*^9, 3.714863050057831*^9}, {
+ 3.714863159717188*^9, 3.7148631737709723`*^9}, {3.714865798463542*^9,
+ 3.714865812385675*^9}, {3.714865849921479*^9, 3.714866147921616*^9},
+ 3.714866191109682*^9, {3.714866464163389*^9, 3.714866480144454*^9}, {
+ 3.7148666669176483`*^9, 3.714866669139514*^9}, {3.714903915141719*^9,
+ 3.7149039311237593`*^9}, {3.7149046080389223`*^9, 3.714904609087657*^9}, {
+ 3.714905323493993*^9, 3.714905334954818*^9}, {3.714906611952711*^9,
+ 3.7149066120629663`*^9}, {3.714906866596887*^9, 3.714906961540287*^9}, {
+ 3.7149069965234413`*^9, 3.714907097638844*^9}, {3.714907131553007*^9,
+ 3.7149071751401873`*^9}, {3.7149928618648252`*^9, 3.714992925372754*^9}, {
+ 3.7149930670850677`*^9, 3.714993073952259*^9}, {3.7149931597991943`*^9,
+ 3.714993180305662*^9}, {3.714993784389737*^9, 3.714993798480569*^9}, {
+ 3.7149941053628273`*^9, 3.714994106716889*^9}, {3.714994374387026*^9,
+ 3.7149944171368856`*^9}, {3.714994449502027*^9, 3.714994466031096*^9}, {
+ 3.7149945294375277`*^9, 3.714994538925222*^9}, {3.7149985477412786`*^9,
+ 3.71499856076299*^9}, {3.7153800402668056`*^9, 3.715380063819003*^9}, {
+ 3.7153801112277822`*^9, 3.7153801113018713`*^9}, {3.7153802543775787`*^9,
+ 3.715380254503901*^9}, {3.7153802981992283`*^9, 3.715380299334661*^9}, {
+ 3.7153808495966997`*^9, 3.715380893631353*^9}, {3.715381067078323*^9,
+ 3.7153810689551067`*^9}, {3.71538117638953*^9, 3.7153811850848*^9}, {
+ 3.715381347168209*^9, 3.7153814376329613`*^9}, {3.715381505450323*^9,
+ 3.715381566338889*^9}, {3.7153816285483313`*^9, 3.715381637755931*^9}, {
+ 3.715381755806457*^9, 3.715381777816703*^9}, {3.715382159948557*^9,
+ 3.715382172412593*^9}, {3.715382221973988*^9, 3.715382257917728*^9}, {
+ 3.715382315687036*^9, 3.715382316406804*^9}, {3.715382487306398*^9,
+ 3.71538252434626*^9}, {3.715382689829625*^9, 3.715382811648841*^9}, {
+ 3.715410717351038*^9, 3.715410846842925*^9}, {3.7154124886862698`*^9,
+ 3.7154125070299397`*^9}, {3.715434458569008*^9, 3.715434521869276*^9}, {
+ 3.715435521633807*^9, 3.7154355325897303`*^9}, {3.71544227887505*^9,
+ 3.715442479318033*^9}, {3.71544250966214*^9, 3.7154425317953997`*^9}, {
+ 3.715442655663721*^9, 3.715442708486268*^9}, {3.7154433128061666`*^9,
+ 3.715443366012395*^9}, {3.7154478757607727`*^9, 3.715447926248888*^9}, {
+ 3.7154480051278553`*^9, 3.715448085315962*^9}, {3.715451091322115*^9,
+ 3.715451095073998*^9}, {3.7154515674097424`*^9, 3.7154515748411283`*^9}, {
+ 3.715451695656782*^9, 3.715451705115419*^9}, {3.715451736175159*^9,
+ 3.715451737259602*^9}, {3.71545240915029*^9, 3.7154524767861433`*^9}, {
+ 3.715452549457405*^9, 3.715452549792197*^9}, {3.715452612449813*^9,
+ 3.7154526804422417`*^9}, {3.715453252279937*^9, 3.715453290595696*^9}, {
+ 3.715455694225601*^9, 3.715455725593369*^9}, {3.7154558961967154`*^9,
+ 3.715455906108632*^9}, {3.715456356129078*^9,
+ 3.715456377918297*^9}},ExpressionUUID->"9bf870db-226c-48c2-a135-\
+05ec91992349"],
+
+Cell[BoxData[
+ TemplateBox[{
+ "FindRoot","lstol",
+ "\"The line search decreased the step size to within tolerance specified \
+by AccuracyGoal and PrecisionGoal but was unable to find a sufficient \
+decrease in the merit function. You may need more than \
+\\!\\(\\*RowBox[{\\\"MachinePrecision\\\"}]\\) digits of working precision to \
+meet these tolerances.\"",2,931,687,30811906196057744771,"Local"},
+ "MessageTemplate"]], "Message", "MSG",
+ CellChangeTimes->{{3.715455719011632*^9, 3.715455952866067*^9}, {
+ 3.715456351657247*^9,
+ 3.7154564340589314`*^9}},ExpressionUUID->"714519e2-0b9e-4fb1-8d9f-\
+c411f4eb08ee"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"A", "\[Rule]",
+ RowBox[{"-", "28.196180899863553`"}]}], ",",
+ RowBox[{"xc", "\[Rule]",
+ RowBox[{"-", "1.8054297486554907`"}]}], ",",
+ RowBox[{"m0", "\[Rule]",
+ RowBox[{"-", "0.17042466026532715`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "\[Rule]",
+ RowBox[{"-", "0.3440021085033494`"}]}], ",",
+ RowBox[{"h0", "\[Rule]", "0.8705027094485887`"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "\[Rule]",
+ RowBox[{"-", "5.941227482550658`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}], ",",
+ RowBox[{"\[Lambda]", "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "6", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "7", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "8", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "9", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "10", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "11", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "12", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "13", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "14", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "3", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "4", "]"}], "\[Rule]", "0"}]}], "}"}]], "Output",
+ CellChangeTimes->{{3.715434508517209*^9, 3.715434522717032*^9},
+ 3.715434885132062*^9, 3.715435534529998*^9, {3.715440746878167*^9,
+ 3.715440755869438*^9}, 3.7154423490064497`*^9, {3.715442380120595*^9,
+ 3.715442570621499*^9}, {3.715442686600745*^9, 3.715442709402417*^9}, {
+ 3.715443297994609*^9, 3.715443367102642*^9}, 3.715446922392045*^9, {
+ 3.7154478824198847`*^9, 3.715447959751953*^9}, {3.7154479911275597`*^9,
+ 3.715448098936472*^9}, 3.715451054266313*^9, {3.715451098470735*^9,
+ 3.715451098510564*^9}, {3.7154514266961*^9, 3.7154514957374353`*^9},
+ 3.715451535480257*^9, {3.715451575236459*^9, 3.7154515834588223`*^9}, {
+ 3.7154516756930847`*^9, 3.7154517510705767`*^9}, {3.715451783264914*^9,
+ 3.715451829781788*^9}, {3.715452454296721*^9, 3.715452492634843*^9}, {
+ 3.715452547137843*^9, 3.7154526867654333`*^9}, {3.715452969146206*^9,
+ 3.715452983178115*^9}, {3.7154532744687634`*^9, 3.715453298730361*^9}, {
+ 3.7154557190213013`*^9, 3.715455952871046*^9}, {3.7154563516620703`*^9,
+ 3.7154564340636377`*^9}},ExpressionUUID->"de15e9f1-6969-447d-b8f3-\
+4c36a116a8e4"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]f", "[",
+ RowBox[{"A", ",", "B", ",", "m0", ",", "h0", ",",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",",
+ RowBox[{"ff", "[",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",", "a", ",", "Nf"}], "]"}],
+ ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}], "[",
+ "\[Theta]", "]"}], "/.", "sol2"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ")"}]}], "-", ".1"}], "/.",
+ "sol2"}], ",",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}], "+", ".1"}], "/.", "sol2"}]}], "}"}],
+ ",",
+ RowBox[{"GridLines", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], "/.", "sol2"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ")"}]}], "/.", "sol2"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}]}], "}"}], ",",
+ "None"}], "}"}]}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7137866834085007`*^9, 3.713786686617977*^9}, {
+ 3.7137867217375593`*^9, 3.713786743847704*^9}, {3.7137868182565737`*^9,
+ 3.713786841939253*^9}, {3.713786924527413*^9, 3.713786974385107*^9}, {
+ 3.713787042137055*^9, 3.713787053211768*^9}, {3.7137870901431293`*^9,
+ 3.713787090608033*^9}, {3.713787341082642*^9, 3.713787364514805*^9}, {
+ 3.713787741825034*^9, 3.713787770799416*^9}, {3.713787886687026*^9,
+ 3.7137878869430323`*^9}, {3.7137888266494427`*^9, 3.713788842463161*^9}, {
+ 3.713792007167099*^9, 3.713792021787199*^9}, 3.7137922767568893`*^9, {
+ 3.7137944309219913`*^9, 3.713794435906933*^9}, {3.713796878199485*^9,
+ 3.713796887244006*^9}, {3.713796921081345*^9, 3.713796926722969*^9},
+ 3.7137971807617273`*^9, {3.713827438749836*^9, 3.7138274538037987`*^9}, {
+ 3.713827581712484*^9, 3.713827582113104*^9}, {3.714130909227974*^9,
+ 3.714130935160301*^9}, {3.7141311982159986`*^9, 3.714131202051044*^9}, {
+ 3.7141312471974087`*^9, 3.714131274688491*^9}, {3.714131759254038*^9,
+ 3.714131761606591*^9}, {3.714132524986391*^9, 3.7141325265937033`*^9}, {
+ 3.7141337230994473`*^9, 3.714133746090554*^9}, {3.7141354101671886`*^9,
+ 3.714135444491536*^9}, {3.7141356514052277`*^9, 3.7141357777377653`*^9}, {
+ 3.714136651714642*^9, 3.714136680207863*^9}, {3.714137789157419*^9,
+ 3.714137796981852*^9}, 3.7141379430983753`*^9, {3.714138196842355*^9,
+ 3.714138197292419*^9}, {3.714222654297492*^9, 3.7142226816813173`*^9}, {
+ 3.7142241379694967`*^9, 3.714224153092409*^9}, {3.714230783232278*^9,
+ 3.714230783558969*^9}, {3.714230818213155*^9, 3.714230826884192*^9}, {
+ 3.7142341440952263`*^9, 3.714234196383806*^9}, {3.714234229569173*^9,
+ 3.714234230040387*^9}, {3.714831591839006*^9, 3.7148315929177227`*^9}, {
+ 3.715434418590646*^9, 3.715434426546102*^9}, {3.715435478227995*^9,
+ 3.715435512599924*^9}, {3.715435553225832*^9, 3.715435560482403*^9}, {
+ 3.7154360085885153`*^9, 3.7154360090887403`*^9}, {3.715452779974156*^9,
+ 3.715452782037253*^9}},ExpressionUUID->"5d18036a-1593-48ad-9b4f-\
+438b8c213ae3"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{}, {},
+ TagBox[{
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6],
+ Opacity[1.], LineBox[CompressedData["
+1:eJw12nk8VN/7APCZuYpKJakUlYSQZEsUDqFESahUkiSlhKIQ1ShKZU+yVfbI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+ "]]}},
+ Annotation[#, "Charting`Private`Tag$202471#1"]& ]}}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}},
+ GridLines->{{{1.7157307228499539`, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}, {-1.7157307228499539`, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}}, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-1.815730722849954, 1.815730722849954}, {-2.4515769350158827`,
+ 1.3194894268916169`}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.7137868428211517`*^9, {3.713786876436328*^9, 3.713786880892294*^9}, {
+ 3.713786911917448*^9, 3.713786975636983*^9}, {3.713787043580344*^9,
+ 3.713787054112487*^9}, {3.713787091884612*^9, 3.7137871303293543`*^9}, {
+ 3.7137873441971073`*^9, 3.713787366054718*^9}, {3.713787744869535*^9,
+ 3.713787772248114*^9}, {3.713787873953774*^9, 3.713787896994111*^9}, {
+ 3.7137880959645233`*^9, 3.7137881089961042`*^9}, 3.71378817266117*^9, {
+ 3.713788840185727*^9, 3.713788843629333*^9}, {3.713792008614105*^9,
+ 3.713792022973323*^9}, 3.713792277625108*^9, {3.7137944343878193`*^9,
+ 3.71379443703505*^9}, {3.713796880744809*^9, 3.713796888383353*^9}, {
+ 3.7137969255232973`*^9, 3.713796927819489*^9}, 3.71379718348458*^9, {
+ 3.713827434665268*^9, 3.713827443488235*^9}, 3.713827482758223*^9, {
+ 3.71382758304496*^9, 3.7138276201271763`*^9}, {3.714131200316176*^9,
+ 3.7141312045699244`*^9}, {3.714131254215077*^9, 3.714131277251986*^9},
+ 3.7141317655386057`*^9, {3.714132521860244*^9, 3.714132531422585*^9},
+ 3.714132594800725*^9, {3.714133724809444*^9, 3.714133751537928*^9}, {
+ 3.714135421393217*^9, 3.714135451507843*^9}, {3.71413567570129*^9,
+ 3.7141356998242083`*^9}, {3.714135733025814*^9, 3.7141357840691547`*^9},
+ 3.714136120052483*^9, {3.7141366600281467`*^9, 3.7141366864873533`*^9}, {
+ 3.7141377784504833`*^9, 3.7141377991028967`*^9}, 3.7141379539677057`*^9,
+ 3.714138050818244*^9, {3.714138200060054*^9, 3.7141382064545603`*^9},
+ 3.7142171461980963`*^9, 3.7142188447804413`*^9, 3.714222440250145*^9, {
+ 3.714222657733528*^9, 3.714222683268447*^9}, {3.714224133382696*^9,
+ 3.7142241549538307`*^9}, 3.714225354640583*^9, 3.714225714002556*^9,
+ 3.714227554313645*^9, 3.714227908653563*^9, 3.71422857576338*^9,
+ 3.714228696834524*^9, 3.71422909104809*^9, 3.714229141599442*^9,
+ 3.7142292486960983`*^9, {3.714230780456892*^9, 3.714230785679422*^9}, {
+ 3.7142308157519608`*^9, 3.714230828606016*^9}, 3.7142340780685377`*^9, {
+ 3.714234224600782*^9, 3.714234237139142*^9}, 3.714234307673902*^9,
+ 3.7142348074233837`*^9, 3.714235165607085*^9, 3.714237644331621*^9,
+ 3.71423838237761*^9, 3.714239395610619*^9, {3.7143082194063873`*^9,
+ 3.714308247142568*^9}, {3.714308319830029*^9, 3.7143083930961514`*^9}, {
+ 3.714308799382699*^9, 3.714308818140257*^9}, {3.7143090986415577`*^9,
+ 3.714309199096778*^9}, 3.714309603240282*^9, 3.7143097173721027`*^9,
+ 3.714310140061274*^9, 3.714310672380919*^9, 3.714310721292274*^9,
+ 3.71439357126875*^9, {3.7143937330438223`*^9, 3.714393762637719*^9},
+ 3.7143937939425077`*^9, 3.714400449835739*^9, 3.714739171437193*^9,
+ 3.7147392613657093`*^9, 3.714755299481934*^9, 3.7148067784238777`*^9,
+ 3.714807443165606*^9, 3.714808174359345*^9, 3.714808692060326*^9,
+ 3.7148090847780457`*^9, 3.71483049403199*^9, 3.7148315942188587`*^9,
+ 3.7148317908338337`*^9, 3.714832871422695*^9, 3.714833048025202*^9,
+ 3.7148350215333233`*^9, 3.7148350520243893`*^9, 3.714836047598082*^9, {
+ 3.714836304567871*^9, 3.714836327074108*^9}, 3.7148363910890007`*^9,
+ 3.7148374291199207`*^9, {3.714837724270095*^9, 3.714837750461904*^9},
+ 3.714839281594843*^9, 3.714839485997526*^9, {3.7148399217687073`*^9,
+ 3.714840006646434*^9}, 3.714840752854959*^9, 3.714862651360503*^9,
+ 3.7148627021396103`*^9, 3.714862740801112*^9, {3.714862969293866*^9,
+ 3.7148629824280033`*^9}, 3.714863054085867*^9, 3.71486317733552*^9,
+ 3.714865815026454*^9, 3.7148658759899273`*^9, 3.714865938320684*^9,
+ 3.714866099372448*^9, {3.714906902368848*^9, 3.714906964342436*^9},
+ 3.7149071068285093`*^9, 3.714992401471239*^9, 3.7149924647613163`*^9,
+ 3.71499272340639*^9, {3.714992783201962*^9, 3.714992810689834*^9},
+ 3.714992857604124*^9, 3.714998519298162*^9, 3.715000485307694*^9,
+ 3.7150072939574223`*^9, 3.715007338683172*^9, 3.715008679832819*^9,
+ 3.7150087425044003`*^9, 3.715093138103307*^9, 3.715097504769623*^9,
+ 3.715097672970394*^9, 3.715355281265408*^9, 3.715359544903166*^9,
+ 3.715359799972622*^9, 3.715368503925208*^9, 3.715369266042727*^9,
+ 3.7153696466716003`*^9, 3.715369680270297*^9, 3.7153697982211237`*^9,
+ 3.715369833127049*^9, 3.7153792367576237`*^9, 3.715379353669621*^9,
+ 3.7153794693369417`*^9, 3.7153799919059668`*^9, 3.7153800219739523`*^9,
+ 3.715380137520944*^9, 3.7153807680415697`*^9, 3.715380909939959*^9,
+ 3.715381048917873*^9, 3.7153820791572447`*^9, 3.715382202952688*^9,
+ 3.715382670493622*^9, 3.715383212766765*^9, 3.715410733790126*^9,
+ 3.715434432805786*^9, {3.715435480425231*^9, 3.7154355167695847`*^9}, {
+ 3.7154355580877438`*^9, 3.7154355646310577`*^9}, 3.715436030490245*^9,
+ 3.715442720822856*^9, 3.71544337776867*^9, 3.7154469246950407`*^9,
+ 3.715448103219118*^9, 3.7154511025211687`*^9, 3.715451427722924*^9,
+ 3.715451817162553*^9, 3.715452690163746*^9, 3.715452783341559*^9,
+ 3.715453301787198*^9},ExpressionUUID->"a2e9d1d0-a04e-4e28-8b6d-\
+93a2522bbee0"]
+}, Open ]],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"func", "=",
+ RowBox[{"Sort", "@",
+ RowBox[{"(",
+ RowBox[{"Reverse", "/@",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{"h0", " ",
+ RowBox[{
+ RowBox[{
+ RowBox[{"ff", "[",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",", "a", ",", "Nf"}],
+ "]"}], "[", "\[Theta]", "]"}], "/",
+ SuperscriptBox[
+ RowBox[{"Abs", "[",
+ RowBox[{"1", "-",
+ SuperscriptBox["\[Theta]", "2"]}], "]"}],
+ "\[CapitalDelta]"]}]}], "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "1.0001", ",",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}], "-", "0.0001"}], "/.", "sol2"}],
+ ",", "0.0001"}], "}"}]}], "]"}]}], ")"}]}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.715438683586855*^9, 3.715438727752768*^9}, {
+ 3.715450948431397*^9, 3.715450951329091*^9}, {3.715451135842347*^9,
+ 3.715451139506178*^9}},ExpressionUUID->"5f0a8cc9-1cdf-4dba-a653-\
+476a7d1616a5"],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"ffunc", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[", "1", "]"}], "]"}], ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-",
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "2"], "-", "1"}], ")"}],
+ RowBox[{"-", "2"}]]}],
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]f", "[",
+ RowBox[{"A", ",", "B", ",", "m0", ",", "h0", ",",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",",
+ RowBox[{"ff", "[",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",", "a", ",", "Nf"}],
+ "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}], "[",
+
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "]"}]}], "/.", "sol2"}]}], "}"}],
+ "&"}], "/@", "func"}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.7154395411410637`*^9, 3.715439547951919*^9}, {
+ 3.7154509571994677`*^9, 3.715450957295442*^9}, {3.715451142266234*^9,
+ 3.71545114236235*^9}, {3.715452269965703*^9, 3.715452290755897*^9},
+ 3.715452320756687*^9,
+ 3.715452703106594*^9},ExpressionUUID->"daf7ab4e-2aaa-4862-b6f0-\
+3736a8f206ac"],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Show", "[",
+ RowBox[{
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ",", "B", ",",
+ RowBox[{
+ RowBox[{"\[ScriptCapitalM]0", "/", "B"}], "/",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ")"}]}]}], "]"}], "[", "X",
+ "]"}]}], ",",
+ RowBox[{"{",
+ RowBox[{"X", ",", "0.01", ",", "1300"}], "}"}]}], "]"}], ",",
+ RowBox[{"ListPlot", "[", "ffunc", "]"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.715450826704771*^9, 3.715450859006007*^9}, {
+ 3.7154508983426113`*^9, 3.715450925088727*^9}, {3.71545096479988*^9,
+ 3.715450991631981*^9}, {3.7154510502434196`*^9, 3.7154510502889957`*^9}, {
+ 3.7154511488427477`*^9, 3.715451154546444*^9}, {3.715452298652625*^9,
+ 3.7154523381088657`*^9}},ExpressionUUID->"88fb631f-f3f0-4b5a-b15e-\
+c9c6dfccaa82"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{{}, {},
+ TagBox[{
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6],
+ Opacity[1.], LineBox[CompressedData["
+1:eJwVynk4lAkAx/EpW1aOttqdcVYeK83W9pRJk8T8aKcDOWZqRSVGyZFyFpEZ
+ZpYZNMbMq8b9vpVqCYuKRxS7SlGqJ8c2jKV0oCyr3Zaubf/4Pp9/vpaCI7wD
+s2k02vbP/a+EpbBsl0o43JbOlhJTGUczY9tzZrmG007j+lYYDnIMGi5VCHtG
+OE4VL3p1uv7klOaFmhyumOZ4mbIvWfZ84kTpbfV8ptZDpfZsSu28r+DjzB4l
+5Sa4ayRO3LNtKVy1lXXJMiZOyZjpHRdX44fysOLXafYovf9afnm5M7R6Id0B
+aldcW1GNimwe1CzDVbwWPtj1T3wqV++B/WPRwbl0P9wzGB7/ZUSAHRYCZ4Pj
+AfCwoWkH14fAu96qKrwyCLNjFi4RO0Zg3Zp7hsdtDqIgM9WG5R+F3GfiC96K
+MOjnz7ivZcTCQeJHCa9HgPXA5ere80exX2SwuVIdiQShZvxCVALu1SaOta2N
+xkN9Z92MBUl4I4ladet2DMbfpjAbLiTDiNs3YiaMQ1Dz/tDRRhHcZnZ0mxsf
+Q6BZsIFgfiqSil3s4x7Fg504Z7tWKEb44NUNNWnHYcvb5m8xJsEJhsOhfPMk
+2EY+ybHmpCHVkUXXdJ1A8Tuph1N1Osp2OUy0HhGC6+we0a0vQ1D1bkp8SoTZ
+C6z+VUky4DVnrwkjKgX8lsAn+W8z0ckPZtIcUzHAJbKv8E7iVt7Pf39tKsav
+Wutew7tyeGre+ApfirHRzjz+LF2BulAdw/4aCXRX2g+sblUgb8Itab/8J6TY
+cvpeinJgEF0odtmdBpVeyrrwNUpMjy7b4m6fDvHlxCqrSSVu1sT6bl0kxXDy
+y+DWChWs4tp18gek8C+h2Eb+BGZW+YUxrskwb+kSZr5FLoKeToaMSjNgmufR
+cP1BLsqfu1+t4mXiR0FhZJnoFA4NDd0gv89CNLfq8VPOadzoSQ58MZ2FhbqZ
+LQV/nUbWbztv+PaehCVbQZVfVmO0bO0Vy/NyvFPbx68My4PNUM90X2Q2qnat
+/Cgwz8eRdN8PAcsUkIiqI95r86Eq6LjzMUsBmzue2gllAWLL/WJt3ysQ+OD2
+FW+fQrQK3DbvC81BXeWITrBxEfYyMLzufg6czsz5p/luEWrbvAPOOSoR2cu/
+rVQUgxd38GLjOSUCg6YnyS0lCGgfYHTqqXCfrBzd/q4EK8xiDsQdU0EPXQ8d
+95HghOoL27QqGDN5CGsg8Tx0KkDxhwpmC+h5sxpJyMP6XHyHVBDbjU+pm0j0
+h5fPHRtWISdOU9XWTCLhsJvc6JUKI2eKYN1GojYms2jnjAo+XqU3Bx+RsEnW
+b3xKJxBfGLnH9zWJzuSpokvGBByrAt5OjJM4KuwTxpkS0CzeR0gnSLSKyjfp
+LibQ75fWVTdFIlDs1vGdNQE+KyGCPkOiQJqpiWIRGBxrm9U1l4KLLKZxgx2B
+VwxpU/iXFEZlu4t12AQONfETdeZRWJ+5QpC7gcAXTQYfbA0pdJ/sGKl3JrAx
+g2aiXEQhSV7bkbKJgNMJxjPmNxS+zS6ocOUS0E9cX9tCpxCtCI/q3/r5Hzy9
+c9KEgkkOn1/qSsD/VTdTZkahOcfB7rA7gWp3C5qlBYUQpRWD7UGg7FHE7/WL
+KcxX6U9/8iTQxG+r8VpK4T+Tpz8B
+ "]]}},
+ Annotation[#,
+ "Charting`Private`Tag$202515#1"]& ]}}, {}, {}}, {{}, {{{}, {
+ {RGBColor[0.368417, 0.506779, 0.709798], PointSize[
+ 0.003666666666666667], AbsoluteThickness[1.6],
+ PointBox[CompressedData["
+1:eJwUl3c8V98fxyWiIZRKIULDTpQQr6xQkcykNOwKoaFvRmVGypY9ykj23nvP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+
+ "]]}}, {}}}, {}, {}, {{}, {}}, {{}, {}}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{0.01, 1300}, {0., 2192.157613972637}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{{3.7154509122064047`*^9, 3.715450925506069*^9}, {
+ 3.7154509774830713`*^9, 3.715450992096867*^9}, {3.715451040855282*^9,
+ 3.715451050804892*^9}, 3.715451087308104*^9, {3.715451145878537*^9,
+ 3.71545115484577*^9}, 3.71545142902164*^9, {3.715452271329567*^9,
+ 3.7154523383821898`*^9}, {3.715452695671526*^9, 3.715452704012073*^9},
+ 3.715452785421548*^9,
+ 3.715453313995865*^9},ExpressionUUID->"239e7b39-8715-4b95-ba92-\
+8423a1ad1109"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"sol3", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"FindRoot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"eqsl", "[",
+ RowBox[{"[",
+ RowBox[{";;", "4"}], "]"}], "]"}], ",",
+ RowBox[{"eqsm", "[",
+ RowBox[{"[",
+ RowBox[{";;", "4"}], "]"}], "]"}], ",",
+ RowBox[{"eqsh", "[",
+ RowBox[{"[",
+ RowBox[{";;", "2"}], "]"}], "]"}]}], "]"}], "/.",
+ RowBox[{"\[Theta]c", "\[Rule]",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}]}]}], "/.", "#"}], ",",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"A", ",",
+ RowBox[{"A", "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"h0", ",",
+ RowBox[{"h0", "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"m0", ",",
+ RowBox[{"m0", "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"xc", ",",
+ RowBox[{"xc", "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "/.", "sol2"}]}], "}"}]}], "}"}],
+ "+",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"0", ",",
+ RowBox[{"0.1",
+ RowBox[{"RandomReal", "[",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"-", "1"}], ",", "1"}], "}"}], "]"}]}]}], "}"}],
+ "&"}], "/@",
+ RowBox[{"Range", "[", "10", "]"}]}], ")"}]}], ",",
+ RowBox[{"MaxIterations", "\[Rule]", "1000"}]}], "]"}], ",", "#"}],
+ "]"}], "&"}], "@",
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}], ",",
+ RowBox[{"\[Lambda]", "\[Rule]", "1"}]}], "}"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"a", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "4", ",", "14"}], "}"}]}], "]"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"b", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "3", ",", "4"}], "}"}]}], "]"}]}],
+ "]"}]}]}]], "Input",
+ CellChangeTimes->{{3.714830189789303*^9, 3.714830247113008*^9}, {
+ 3.714830370291354*^9, 3.7148304020998793`*^9}, {3.714830438333508*^9,
+ 3.714830492396922*^9}, {3.7148315519116983`*^9, 3.714831586639285*^9}, {
+ 3.714831775402582*^9, 3.714831788201981*^9}, {3.714832864307414*^9,
+ 3.714832868444124*^9}, {3.714833031190621*^9, 3.714833044877865*^9}, {
+ 3.714834919749164*^9, 3.71483502667636*^9}, {3.714836043615963*^9,
+ 3.714836044589387*^9}, {3.714836290637068*^9, 3.714836324225964*^9}, {
+ 3.714836388083845*^9, 3.714836388262471*^9}, {3.714837415845621*^9,
+ 3.714837426131487*^9}, {3.7148377212331553`*^9, 3.714837747792254*^9}, {
+ 3.7148392507136106`*^9, 3.714839277104413*^9}, {3.71483978480295*^9,
+ 3.714839809483008*^9}, {3.714839847371202*^9, 3.714839847406069*^9}, {
+ 3.71483988740263*^9, 3.714840005018692*^9}, {3.714840743913506*^9,
+ 3.7148407501038113`*^9}, {3.714862699130905*^9, 3.714862699795854*^9}, {
+ 3.714862738005735*^9, 3.71486273821253*^9}, {3.71486289724051*^9,
+ 3.714862979688342*^9}, {3.714863014778574*^9, 3.714863050057831*^9}, {
+ 3.714863159717188*^9, 3.7148631737709723`*^9}, {3.714865798463542*^9,
+ 3.714865812385675*^9}, {3.714865849921479*^9, 3.714866147921616*^9},
+ 3.714866191109682*^9, {3.714866464163389*^9, 3.714866480144454*^9}, {
+ 3.7148666669176483`*^9, 3.714866669139514*^9}, {3.714903915141719*^9,
+ 3.7149039311237593`*^9}, {3.7149046080389223`*^9, 3.714904609087657*^9}, {
+ 3.714905323493993*^9, 3.714905334954818*^9}, {3.714906611952711*^9,
+ 3.7149066120629663`*^9}, {3.714906866596887*^9, 3.714906961540287*^9}, {
+ 3.7149069965234413`*^9, 3.714907097638844*^9}, {3.714907131553007*^9,
+ 3.7149071751401873`*^9}, {3.7149928618648252`*^9, 3.714992925372754*^9}, {
+ 3.7149930670850677`*^9, 3.714993073952259*^9}, {3.7149931597991943`*^9,
+ 3.714993180305662*^9}, {3.714993784389737*^9, 3.714993798480569*^9}, {
+ 3.7149941053628273`*^9, 3.714994106716889*^9}, {3.714994374387026*^9,
+ 3.7149944171368856`*^9}, {3.714994449502027*^9, 3.714994466031096*^9}, {
+ 3.7149945294375277`*^9, 3.714994538925222*^9}, {3.7149985477412786`*^9,
+ 3.71499856076299*^9}, {3.7153800402668056`*^9, 3.715380063819003*^9}, {
+ 3.7153801112277822`*^9, 3.7153801113018713`*^9}, {3.7153802543775787`*^9,
+ 3.715380254503901*^9}, {3.7153802981992283`*^9, 3.715380299334661*^9}, {
+ 3.7153808495966997`*^9, 3.715380893631353*^9}, {3.715381067078323*^9,
+ 3.7153810689551067`*^9}, {3.71538117638953*^9, 3.7153811850848*^9}, {
+ 3.715381347168209*^9, 3.7153814376329613`*^9}, {3.715381505450323*^9,
+ 3.715381566338889*^9}, {3.7153816285483313`*^9, 3.715381637755931*^9}, {
+ 3.715381755806457*^9, 3.715381777816703*^9}, {3.715382159948557*^9,
+ 3.715382172412593*^9}, {3.715382221973988*^9, 3.715382257917728*^9}, {
+ 3.715382315687036*^9, 3.715382316406804*^9}, {3.715382487306398*^9,
+ 3.71538252434626*^9}, {3.715382689829625*^9, 3.715382811648841*^9}, {
+ 3.715410717351038*^9, 3.715410846842925*^9}, {3.7154124886862698`*^9,
+ 3.7154125070299397`*^9}, {3.715434458569008*^9, 3.715434521869276*^9}, {
+ 3.715434566574203*^9, 3.7154346403762608`*^9}, {3.715434749951892*^9,
+ 3.715434789899193*^9}, {3.715434920483838*^9, 3.715434940077733*^9}, {
+ 3.715435575973477*^9, 3.715435646025733*^9}, {3.715435690187085*^9,
+ 3.715435714405458*^9}, {3.715435776363511*^9, 3.715435807747196*^9}, {
+ 3.7154358484860783`*^9, 3.715435856901668*^9}, {3.715435905148451*^9,
+ 3.7154359069936953`*^9}, {3.715442724712371*^9, 3.715442759520135*^9}, {
+ 3.7154428416092453`*^9, 3.71544285262706*^9}, {3.715442892036994*^9,
+ 3.715442892364524*^9}, {3.715442940590878*^9, 3.715442949514777*^9}, {
+ 3.715442983728561*^9, 3.7154429858743153`*^9}, {3.715443038823636*^9,
+ 3.715443074309713*^9}, {3.715443155881884*^9, 3.715443190699272*^9}, {
+ 3.715443434429194*^9, 3.715443475639513*^9}, 3.715443521576674*^9, {
+ 3.715443576425261*^9, 3.715443630651683*^9}, {3.7154469344869328`*^9,
+ 3.715446959081748*^9}, {3.715447023899397*^9, 3.715447057955368*^9}, {
+ 3.715447608492379*^9, 3.715447631747244*^9}, {3.715447754358737*^9,
+ 3.715447757622446*^9}, {3.715448109428176*^9, 3.71544815479996*^9}, {
+ 3.7154482489263163`*^9, 3.71544826791364*^9}, {3.7154483540723753`*^9,
+ 3.715448362727888*^9}, {3.715452722342657*^9, 3.715452768771614*^9}, {
+ 3.715452799213122*^9, 3.7154527995243*^9}, {3.715452842021566*^9,
+ 3.715452854756942*^9}, {3.715452900118125*^9, 3.715452900817079*^9}, {
+ 3.715453313092308*^9, 3.715453346580374*^9}, {3.715453490643268*^9,
+ 3.715453514423273*^9}, {3.71545358951274*^9,
+ 3.715453601408662*^9}},ExpressionUUID->"9bf870db-226c-48c2-a135-\
+05ec91992349"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"A", "\[Rule]",
+ RowBox[{"-", "429.38828426338335`"}]}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "\[Rule]", "6.851156053581061`"}], ",",
+ RowBox[{"h0", "\[Rule]", "1.4152496666814907`"}], ",",
+ RowBox[{"m0", "\[Rule]",
+ RowBox[{"-", "0.09407841562399683`"}]}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "\[Rule]", "4.003682764596913`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "\[Rule]", "1.069666718946467`"}], ",",
+ RowBox[{"xc", "\[Rule]",
+ RowBox[{"-", "0.07153230067241374`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "\[Rule]",
+ RowBox[{"-", "0.32735123598802834`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "\[Rule]", "0.028275226983833238`"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "\[Rule]",
+ RowBox[{"-", "2.5320995020766675`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}], ",",
+ RowBox[{"\[Lambda]", "\[Rule]", "1"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "6", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "7", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "8", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "9", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "10", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "11", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "12", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "13", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "14", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "3", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "4", "]"}], "\[Rule]", "0"}]}], "}"}]], "Output",
+ CellChangeTimes->{{3.715448216712179*^9, 3.715448301928007*^9}, {
+ 3.715448336553413*^9, 3.715448372076707*^9}, 3.7154511071922894`*^9, {
+ 3.715452778562214*^9, 3.7154529571783524`*^9}, {3.7154533542763166`*^9,
+ 3.71545335599149*^9}, {3.715453511977825*^9,
+ 3.7154536021654053`*^9}},ExpressionUUID->"419b85e2-e1d2-48e9-a40d-\
+719b1d027a19"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]f", "[",
+ RowBox[{"A", ",", "B", ",", "m0", ",", "h0", ",",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",",
+ RowBox[{"ff", "[",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",", "a", ",", "Nf"}], "]"}],
+ ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}], "[",
+ "\[Theta]", "]"}], "/.", "sol3"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ")"}]}], "-", "1"}], "/.",
+ "sol3"}], ",",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}], "+", "1"}], "/.", "sol3"}]}], "}"}],
+ ",",
+ RowBox[{"GridLines", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], "/.", "sol3"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ")"}]}], "/.", "sol3"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}]}], "}"}], ",",
+ "None"}], "}"}]}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7137866834085007`*^9, 3.713786686617977*^9}, {
+ 3.7137867217375593`*^9, 3.713786743847704*^9}, {3.7137868182565737`*^9,
+ 3.713786841939253*^9}, {3.713786924527413*^9, 3.713786974385107*^9}, {
+ 3.713787042137055*^9, 3.713787053211768*^9}, {3.7137870901431293`*^9,
+ 3.713787090608033*^9}, {3.713787341082642*^9, 3.713787364514805*^9}, {
+ 3.713787741825034*^9, 3.713787770799416*^9}, {3.713787886687026*^9,
+ 3.7137878869430323`*^9}, {3.7137888266494427`*^9, 3.713788842463161*^9}, {
+ 3.713792007167099*^9, 3.713792021787199*^9}, 3.7137922767568893`*^9, {
+ 3.7137944309219913`*^9, 3.713794435906933*^9}, {3.713796878199485*^9,
+ 3.713796887244006*^9}, {3.713796921081345*^9, 3.713796926722969*^9},
+ 3.7137971807617273`*^9, {3.713827438749836*^9, 3.7138274538037987`*^9}, {
+ 3.713827581712484*^9, 3.713827582113104*^9}, {3.714130909227974*^9,
+ 3.714130935160301*^9}, {3.7141311982159986`*^9, 3.714131202051044*^9}, {
+ 3.7141312471974087`*^9, 3.714131274688491*^9}, {3.714131759254038*^9,
+ 3.714131761606591*^9}, {3.714132524986391*^9, 3.7141325265937033`*^9}, {
+ 3.7141337230994473`*^9, 3.714133746090554*^9}, {3.7141354101671886`*^9,
+ 3.714135444491536*^9}, {3.7141356514052277`*^9, 3.7141357777377653`*^9}, {
+ 3.714136651714642*^9, 3.714136680207863*^9}, {3.714137789157419*^9,
+ 3.714137796981852*^9}, 3.7141379430983753`*^9, {3.714138196842355*^9,
+ 3.714138197292419*^9}, {3.714222654297492*^9, 3.7142226816813173`*^9}, {
+ 3.7142241379694967`*^9, 3.714224153092409*^9}, {3.714230783232278*^9,
+ 3.714230783558969*^9}, {3.714230818213155*^9, 3.714230826884192*^9}, {
+ 3.7142341440952263`*^9, 3.714234196383806*^9}, {3.714234229569173*^9,
+ 3.714234230040387*^9}, {3.714831591839006*^9, 3.7148315929177227`*^9}, {
+ 3.715434418590646*^9, 3.715434426546102*^9}, {3.715435478227995*^9,
+ 3.715435512599924*^9}, {3.715435553225832*^9, 3.715435560482403*^9}, {
+ 3.715435997709805*^9,
+ 3.7154360055500927`*^9}},ExpressionUUID->"5d18036a-1593-48ad-9b4f-\
+438b8c213ae3"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{}, {},
+ TagBox[{
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6],
+ Opacity[1.], LineBox[CompressedData["
+1:eJw12nk0Vd/7OPDjHqHBVBINMiVJJTJW9qmQ5kGU8pYMJQqVomSKZGgwlchM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+ "]]}},
+ Annotation[#, "Charting`Private`Tag$202815#1"]& ]}}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}},
+ GridLines->{{{1.9309662062747455`, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}, {-1.9309662062747455`, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}}, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-2.9309662062747455`,
+ 2.9309662062747455`}, {-2.462757522286676, 10.22552978581029}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.7137868428211517`*^9, {3.713786876436328*^9, 3.713786880892294*^9}, {
+ 3.713786911917448*^9, 3.713786975636983*^9}, {3.713787043580344*^9,
+ 3.713787054112487*^9}, {3.713787091884612*^9, 3.7137871303293543`*^9}, {
+ 3.7137873441971073`*^9, 3.713787366054718*^9}, {3.713787744869535*^9,
+ 3.713787772248114*^9}, {3.713787873953774*^9, 3.713787896994111*^9}, {
+ 3.7137880959645233`*^9, 3.7137881089961042`*^9}, 3.71378817266117*^9, {
+ 3.713788840185727*^9, 3.713788843629333*^9}, {3.713792008614105*^9,
+ 3.713792022973323*^9}, 3.713792277625108*^9, {3.7137944343878193`*^9,
+ 3.71379443703505*^9}, {3.713796880744809*^9, 3.713796888383353*^9}, {
+ 3.7137969255232973`*^9, 3.713796927819489*^9}, 3.71379718348458*^9, {
+ 3.713827434665268*^9, 3.713827443488235*^9}, 3.713827482758223*^9, {
+ 3.71382758304496*^9, 3.7138276201271763`*^9}, {3.714131200316176*^9,
+ 3.7141312045699244`*^9}, {3.714131254215077*^9, 3.714131277251986*^9},
+ 3.7141317655386057`*^9, {3.714132521860244*^9, 3.714132531422585*^9},
+ 3.714132594800725*^9, {3.714133724809444*^9, 3.714133751537928*^9}, {
+ 3.714135421393217*^9, 3.714135451507843*^9}, {3.71413567570129*^9,
+ 3.7141356998242083`*^9}, {3.714135733025814*^9, 3.7141357840691547`*^9},
+ 3.714136120052483*^9, {3.7141366600281467`*^9, 3.7141366864873533`*^9}, {
+ 3.7141377784504833`*^9, 3.7141377991028967`*^9}, 3.7141379539677057`*^9,
+ 3.714138050818244*^9, {3.714138200060054*^9, 3.7141382064545603`*^9},
+ 3.7142171461980963`*^9, 3.7142188447804413`*^9, 3.714222440250145*^9, {
+ 3.714222657733528*^9, 3.714222683268447*^9}, {3.714224133382696*^9,
+ 3.7142241549538307`*^9}, 3.714225354640583*^9, 3.714225714002556*^9,
+ 3.714227554313645*^9, 3.714227908653563*^9, 3.71422857576338*^9,
+ 3.714228696834524*^9, 3.71422909104809*^9, 3.714229141599442*^9,
+ 3.7142292486960983`*^9, {3.714230780456892*^9, 3.714230785679422*^9}, {
+ 3.7142308157519608`*^9, 3.714230828606016*^9}, 3.7142340780685377`*^9, {
+ 3.714234224600782*^9, 3.714234237139142*^9}, 3.714234307673902*^9,
+ 3.7142348074233837`*^9, 3.714235165607085*^9, 3.714237644331621*^9,
+ 3.71423838237761*^9, 3.714239395610619*^9, {3.7143082194063873`*^9,
+ 3.714308247142568*^9}, {3.714308319830029*^9, 3.7143083930961514`*^9}, {
+ 3.714308799382699*^9, 3.714308818140257*^9}, {3.7143090986415577`*^9,
+ 3.714309199096778*^9}, 3.714309603240282*^9, 3.7143097173721027`*^9,
+ 3.714310140061274*^9, 3.714310672380919*^9, 3.714310721292274*^9,
+ 3.71439357126875*^9, {3.7143937330438223`*^9, 3.714393762637719*^9},
+ 3.7143937939425077`*^9, 3.714400449835739*^9, 3.714739171437193*^9,
+ 3.7147392613657093`*^9, 3.714755299481934*^9, 3.7148067784238777`*^9,
+ 3.714807443165606*^9, 3.714808174359345*^9, 3.714808692060326*^9,
+ 3.7148090847780457`*^9, 3.71483049403199*^9, 3.7148315942188587`*^9,
+ 3.7148317908338337`*^9, 3.714832871422695*^9, 3.714833048025202*^9,
+ 3.7148350215333233`*^9, 3.7148350520243893`*^9, 3.714836047598082*^9, {
+ 3.714836304567871*^9, 3.714836327074108*^9}, 3.7148363910890007`*^9,
+ 3.7148374291199207`*^9, {3.714837724270095*^9, 3.714837750461904*^9},
+ 3.714839281594843*^9, 3.714839485997526*^9, {3.7148399217687073`*^9,
+ 3.714840006646434*^9}, 3.714840752854959*^9, 3.714862651360503*^9,
+ 3.7148627021396103`*^9, 3.714862740801112*^9, {3.714862969293866*^9,
+ 3.7148629824280033`*^9}, 3.714863054085867*^9, 3.71486317733552*^9,
+ 3.714865815026454*^9, 3.7148658759899273`*^9, 3.714865938320684*^9,
+ 3.714866099372448*^9, {3.714906902368848*^9, 3.714906964342436*^9},
+ 3.7149071068285093`*^9, 3.714992401471239*^9, 3.7149924647613163`*^9,
+ 3.71499272340639*^9, {3.714992783201962*^9, 3.714992810689834*^9},
+ 3.714992857604124*^9, 3.714998519298162*^9, 3.715000485307694*^9,
+ 3.7150072939574223`*^9, 3.715007338683172*^9, 3.715008679832819*^9,
+ 3.7150087425044003`*^9, 3.715093138103307*^9, 3.715097504769623*^9,
+ 3.715097672970394*^9, 3.715355281265408*^9, 3.715359544903166*^9,
+ 3.715359799972622*^9, 3.715368503925208*^9, 3.715369266042727*^9,
+ 3.7153696466716003`*^9, 3.715369680270297*^9, 3.7153697982211237`*^9,
+ 3.715369833127049*^9, 3.7153792367576237`*^9, 3.715379353669621*^9,
+ 3.7153794693369417`*^9, 3.7153799919059668`*^9, 3.7153800219739523`*^9,
+ 3.715380137520944*^9, 3.7153807680415697`*^9, 3.715380909939959*^9,
+ 3.715381048917873*^9, 3.7153820791572447`*^9, 3.715382202952688*^9,
+ 3.715382670493622*^9, 3.715383212766765*^9, 3.715410733790126*^9,
+ 3.715434432805786*^9, {3.715435480425231*^9, 3.7154355167695847`*^9}, {
+ 3.7154355580877438`*^9, 3.7154355646310577`*^9}, 3.715436037359543*^9,
+ 3.715452786907462*^9, 3.7154533611774406`*^9,
+ 3.71545360522545*^9},ExpressionUUID->"cef88147-e1b5-4338-92da-\
+691b2f2baf93"]
+}, Open ]],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"func", "=",
+ RowBox[{"Sort", "@",
+ RowBox[{"(",
+ RowBox[{"Reverse", "/@",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{"h0", " ",
+ RowBox[{
+ RowBox[{
+ RowBox[{"ff", "[",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",", "a", ",", "Nf"}],
+ "]"}], "[", "\[Theta]", "]"}], "/",
+ SuperscriptBox[
+ RowBox[{"Abs", "[",
+ RowBox[{"1", "-",
+ SuperscriptBox["\[Theta]", "2"]}], "]"}],
+ "\[CapitalDelta]"]}]}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "1.0001", ",",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}], "-", "0.0001"}], "/.", "sol3"}],
+ ",", "0.0001"}], "}"}]}], "]"}]}], ")"}]}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.715438683586855*^9, 3.715438727752768*^9}, {
+ 3.715450948431397*^9, 3.715450951329091*^9}, {3.715451135842347*^9,
+ 3.715451139506178*^9}, {3.715453378366768*^9,
+ 3.715453386862279*^9}},ExpressionUUID->"47bcc12d-608f-48f4-9309-\
+22c415e2c866"],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"ffunc", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[", "1", "]"}], "]"}], ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-",
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "2"], "-", "1"}], ")"}],
+ RowBox[{"-", "2"}]]}],
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]f", "[",
+ RowBox[{"A", ",", "B", ",", "m0", ",", "h0", ",",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",",
+ RowBox[{"ff", "[",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",", "a", ",", "Nf"}],
+ "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}], "[",
+
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "]"}]}], "/.", "sol3"}]}], "}"}],
+ "&"}], "/@", "func"}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.7154395411410637`*^9, 3.715439547951919*^9}, {
+ 3.7154509571994677`*^9, 3.715450957295442*^9}, {3.715451142266234*^9,
+ 3.71545114236235*^9}, {3.715452269965703*^9, 3.715452290755897*^9},
+ 3.715452320756687*^9, 3.715452703106594*^9, {3.715453382548925*^9,
+ 3.715453382652754*^9}},ExpressionUUID->"fbb8556e-e691-4799-9f94-\
+377e7a2375a0"],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Show", "[",
+ RowBox[{
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ",", "B", ",",
+ RowBox[{
+ RowBox[{"\[ScriptCapitalM]0", "/", "B"}], "/",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ")"}]}]}], "]"}], "[", "X",
+ "]"}]}], ",",
+ RowBox[{"{",
+ RowBox[{"X", ",", "0.01", ",", "1300"}], "}"}]}], "]"}], ",",
+ RowBox[{"ListPlot", "[", "ffunc", "]"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.715450826704771*^9, 3.715450859006007*^9}, {
+ 3.7154508983426113`*^9, 3.715450925088727*^9}, {3.71545096479988*^9,
+ 3.715450991631981*^9}, {3.7154510502434196`*^9, 3.7154510502889957`*^9}, {
+ 3.7154511488427477`*^9, 3.715451154546444*^9}, {3.715452298652625*^9,
+ 3.7154523381088657`*^9}},ExpressionUUID->"f7e63802-baeb-44ed-8a8a-\
+98dfdd52b0d3"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{{}, {},
+ TagBox[{
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6],
+ Opacity[1.], LineBox[CompressedData["
+1:eJwVynk4lAkAx/EpW1aOttqdcVYeK83W9pRJk8T8aKcDOWZqRSVGyZFyFpEZ
+ZpYZNMbMq8b9vpVqCYuKRxS7SlGqJ8c2jKV0oCyr3Zaubf/4Pp9/vpaCI7wD
+s2k02vbP/a+EpbBsl0o43JbOlhJTGUczY9tzZrmG007j+lYYDnIMGi5VCHtG
+OE4VL3p1uv7klOaFmhyumOZ4mbIvWfZ84kTpbfV8ptZDpfZsSu28r+DjzB4l
+5Sa4ayRO3LNtKVy1lXXJMiZOyZjpHRdX44fysOLXafYovf9afnm5M7R6Id0B
+aldcW1GNimwe1CzDVbwWPtj1T3wqV++B/WPRwbl0P9wzGB7/ZUSAHRYCZ4Pj
+AfCwoWkH14fAu96qKrwyCLNjFi4RO0Zg3Zp7hsdtDqIgM9WG5R+F3GfiC96K
+MOjnz7ivZcTCQeJHCa9HgPXA5ere80exX2SwuVIdiQShZvxCVALu1SaOta2N
+xkN9Z92MBUl4I4ladet2DMbfpjAbLiTDiNs3YiaMQ1Dz/tDRRhHcZnZ0mxsf
+Q6BZsIFgfiqSil3s4x7Fg504Z7tWKEb44NUNNWnHYcvb5m8xJsEJhsOhfPMk
+2EY+ybHmpCHVkUXXdJ1A8Tuph1N1Osp2OUy0HhGC6+we0a0vQ1D1bkp8SoTZ
+C6z+VUky4DVnrwkjKgX8lsAn+W8z0ckPZtIcUzHAJbKv8E7iVt7Pf39tKsav
+Wutew7tyeGre+ApfirHRzjz+LF2BulAdw/4aCXRX2g+sblUgb8Itab/8J6TY
+cvpeinJgEF0odtmdBpVeyrrwNUpMjy7b4m6fDvHlxCqrSSVu1sT6bl0kxXDy
+y+DWChWs4tp18gek8C+h2Eb+BGZW+YUxrskwb+kSZr5FLoKeToaMSjNgmufR
+cP1BLsqfu1+t4mXiR0FhZJnoFA4NDd0gv89CNLfq8VPOadzoSQ58MZ2FhbqZ
+LQV/nUbWbztv+PaehCVbQZVfVmO0bO0Vy/NyvFPbx68My4PNUM90X2Q2qnat
+/Cgwz8eRdN8PAcsUkIiqI95r86Eq6LjzMUsBmzue2gllAWLL/WJt3ysQ+OD2
+FW+fQrQK3DbvC81BXeWITrBxEfYyMLzufg6czsz5p/luEWrbvAPOOSoR2cu/
+rVQUgxd38GLjOSUCg6YnyS0lCGgfYHTqqXCfrBzd/q4EK8xiDsQdU0EPXQ8d
+95HghOoL27QqGDN5CGsg8Tx0KkDxhwpmC+h5sxpJyMP6XHyHVBDbjU+pm0j0
+h5fPHRtWISdOU9XWTCLhsJvc6JUKI2eKYN1GojYms2jnjAo+XqU3Bx+RsEnW
+b3xKJxBfGLnH9zWJzuSpokvGBByrAt5OjJM4KuwTxpkS0CzeR0gnSLSKyjfp
+LibQ75fWVTdFIlDs1vGdNQE+KyGCPkOiQJqpiWIRGBxrm9U1l4KLLKZxgx2B
+VwxpU/iXFEZlu4t12AQONfETdeZRWJ+5QpC7gcAXTQYfbA0pdJ/sGKl3JrAx
+g2aiXEQhSV7bkbKJgNMJxjPmNxS+zS6ocOUS0E9cX9tCpxCtCI/q3/r5Hzy9
+c9KEgkkOn1/qSsD/VTdTZkahOcfB7rA7gWp3C5qlBYUQpRWD7UGg7FHE7/WL
+KcxX6U9/8iTQxG+r8VpK4T+Tpz8B
+ "]]}},
+ Annotation[#,
+ "Charting`Private`Tag$202865#1"]& ]}}, {}, {}}, {{}, {{{}, {
+ {RGBColor[0.368417, 0.506779, 0.709798], PointSize[
+ 0.003666666666666667], AbsoluteThickness[1.6],
+ PointBox[CompressedData["
+1:eJwUl3c81d8DhyWFpFAoGhpUSoMSJe9KMkLKiMiWhr0lZWTvvffe97r3codx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+
+ "]]}}, {}}}, {}, {}, {{}, {}}, {{}, {}}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{0.01, 1300}, {0., 2192.157613972637}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{{3.7154509122064047`*^9, 3.715450925506069*^9}, {
+ 3.7154509774830713`*^9, 3.715450992096867*^9}, {3.715451040855282*^9,
+ 3.715451050804892*^9}, 3.715451087308104*^9, {3.715451145878537*^9,
+ 3.71545115484577*^9}, 3.71545142902164*^9, {3.715452271329567*^9,
+ 3.7154523383821898`*^9}, {3.715452695671526*^9, 3.715452704012073*^9},
+ 3.715452785421548*^9, 3.715453313995865*^9, 3.7154534013053493`*^9,
+ 3.71545361947995*^9},ExpressionUUID->"d1aa920a-411c-4905-b1eb-\
+6ddcb93c7314"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"sol4", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"FindRoot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"eqsl", "[",
+ RowBox[{"[",
+ RowBox[{";;", "5"}], "]"}], "]"}], ",",
+ RowBox[{"eqsm", "[",
+ RowBox[{"[",
+ RowBox[{";;", "5"}], "]"}], "]"}], ",",
+ RowBox[{"eqsh", "[",
+ RowBox[{"[",
+ RowBox[{";;", "3"}], "]"}], "]"}]}], "]"}], "/.",
+ RowBox[{"\[Theta]c", "\[Rule]",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}]}]}], "/.", "#"}], ",",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"A", ",",
+ RowBox[{"A", "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"h0", ",",
+ RowBox[{"h0", "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"m0", ",",
+ RowBox[{"m0", "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"xc", ",",
+ RowBox[{"xc", "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "3", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "3", "]"}], "/.", "sol3"}]}], "}"}]}], "}"}],
+ "+",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"0", ",",
+ RowBox[{"0.1",
+ RowBox[{"RandomReal", "[",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"-", "1"}], ",", "1"}], "}"}], "]"}]}]}], "}"}],
+ "&"}], "/@",
+ RowBox[{"Range", "[", "13", "]"}]}], ")"}]}], ",",
+ RowBox[{"MaxIterations", "\[Rule]", "500"}]}], "]"}], ",", "#"}],
+ "]"}], "&"}], "@",
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"\[Lambda]", "\[Rule]", "1"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}]}], "}"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"a", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "6", ",", "14"}], "}"}]}], "]"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"b", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "4", ",", "4"}], "}"}]}], "]"}]}],
+ "]"}]}]}]], "Input",
+ CellChangeTimes->{{3.714830189789303*^9, 3.714830247113008*^9}, {
+ 3.714830370291354*^9, 3.7148304020998793`*^9}, {3.714830438333508*^9,
+ 3.714830492396922*^9}, {3.7148315519116983`*^9, 3.714831586639285*^9}, {
+ 3.714831775402582*^9, 3.714831788201981*^9}, {3.714832864307414*^9,
+ 3.714832868444124*^9}, {3.714833031190621*^9, 3.714833044877865*^9}, {
+ 3.714834919749164*^9, 3.71483502667636*^9}, {3.714836043615963*^9,
+ 3.714836044589387*^9}, {3.714836290637068*^9, 3.714836324225964*^9}, {
+ 3.714836388083845*^9, 3.714836388262471*^9}, {3.714837415845621*^9,
+ 3.714837426131487*^9}, {3.7148377212331553`*^9, 3.714837747792254*^9}, {
+ 3.7148392507136106`*^9, 3.714839277104413*^9}, {3.71483978480295*^9,
+ 3.714839809483008*^9}, {3.714839847371202*^9, 3.714839847406069*^9}, {
+ 3.71483988740263*^9, 3.714840005018692*^9}, {3.714840743913506*^9,
+ 3.7148407501038113`*^9}, {3.714862699130905*^9, 3.714862699795854*^9}, {
+ 3.714862738005735*^9, 3.71486273821253*^9}, {3.71486289724051*^9,
+ 3.714862979688342*^9}, {3.714863014778574*^9, 3.714863050057831*^9}, {
+ 3.714863159717188*^9, 3.7148631737709723`*^9}, {3.714865798463542*^9,
+ 3.714865812385675*^9}, {3.714865849921479*^9, 3.714866147921616*^9},
+ 3.714866191109682*^9, {3.714866464163389*^9, 3.714866480144454*^9}, {
+ 3.7148666669176483`*^9, 3.714866669139514*^9}, {3.714903915141719*^9,
+ 3.7149039311237593`*^9}, {3.7149046080389223`*^9, 3.714904609087657*^9}, {
+ 3.714905323493993*^9, 3.714905334954818*^9}, {3.714906611952711*^9,
+ 3.7149066120629663`*^9}, {3.714906866596887*^9, 3.714906961540287*^9}, {
+ 3.7149069965234413`*^9, 3.714907097638844*^9}, {3.714907131553007*^9,
+ 3.7149071751401873`*^9}, {3.7149928618648252`*^9, 3.714992925372754*^9}, {
+ 3.7149930670850677`*^9, 3.714993073952259*^9}, {3.7149931597991943`*^9,
+ 3.714993180305662*^9}, {3.714993784389737*^9, 3.714993798480569*^9}, {
+ 3.7149941053628273`*^9, 3.714994106716889*^9}, {3.714994374387026*^9,
+ 3.7149944171368856`*^9}, {3.714994449502027*^9, 3.714994466031096*^9}, {
+ 3.7149945294375277`*^9, 3.714994538925222*^9}, {3.7149985477412786`*^9,
+ 3.71499856076299*^9}, {3.7153800402668056`*^9, 3.715380063819003*^9}, {
+ 3.7153801112277822`*^9, 3.7153801113018713`*^9}, {3.7153802543775787`*^9,
+ 3.715380254503901*^9}, {3.7153802981992283`*^9, 3.715380299334661*^9}, {
+ 3.7153808495966997`*^9, 3.715380893631353*^9}, {3.715381067078323*^9,
+ 3.7153810689551067`*^9}, {3.71538117638953*^9, 3.7153811850848*^9}, {
+ 3.715381347168209*^9, 3.7153814376329613`*^9}, {3.715381505450323*^9,
+ 3.715381566338889*^9}, {3.7153816285483313`*^9, 3.715381637755931*^9}, {
+ 3.715381755806457*^9, 3.715381777816703*^9}, {3.715382159948557*^9,
+ 3.715382172412593*^9}, {3.715382221973988*^9, 3.715382257917728*^9}, {
+ 3.715382315687036*^9, 3.715382316406804*^9}, {3.715382487306398*^9,
+ 3.71538252434626*^9}, {3.715382689829625*^9, 3.715382811648841*^9}, {
+ 3.715410717351038*^9, 3.715410846842925*^9}, {3.7154124886862698`*^9,
+ 3.7154125070299397`*^9}, {3.715434458569008*^9, 3.715434521869276*^9}, {
+ 3.715434566574203*^9, 3.7154346403762608`*^9}, {3.715434749951892*^9,
+ 3.715434789899193*^9}, {3.715434920483838*^9, 3.715435037510107*^9}, {
+ 3.715435915463377*^9, 3.71543595887784*^9}, {3.715436050151799*^9,
+ 3.7154360503521852`*^9}, {3.715436139499629*^9, 3.7154361640828*^9}, {
+ 3.7154361947577353`*^9, 3.7154361949656773`*^9}, {3.715436256244617*^9,
+ 3.7154362778711033`*^9}, {3.715436324810646*^9, 3.715436325155998*^9}, {
+ 3.715436905604658*^9, 3.715436931935461*^9}, {3.715437092819343*^9,
+ 3.7154370932158327`*^9}, {3.7154371866954937`*^9,
+ 3.7154371981000433`*^9}, {3.715437267540358*^9, 3.7154373230713167`*^9}, {
+ 3.715453420358836*^9, 3.7154534668703423`*^9}, {3.715453614000784*^9,
+ 3.7154536794337673`*^9}, {3.715453759104479*^9, 3.715453759676054*^9}, {
+ 3.7154538057377*^9,
+ 3.71545380583568*^9}},ExpressionUUID->"9bf870db-226c-48c2-a135-\
+05ec91992349"],
+
+Cell[BoxData[
+ TemplateBox[{
+ "FindRoot","cvmit",
+ "\"Failed to converge to the requested accuracy or precision within \\!\\(\
+\\*RowBox[{\\\"500\\\"}]\\) iterations.\"",2,573,386,30811906196057744771,
+ "Local"},
+ "MessageTemplate"]], "Message", "MSG",
+ CellChangeTimes->{
+ 3.715453974597322*^9},ExpressionUUID->"c72527e2-3118-4a1c-9885-\
+1b6d5a9e5967"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"A", "\[Rule]",
+ RowBox[{"1.6472616373163167`", "\[VeryThinSpace]", "+",
+ RowBox[{"2.9776757998962995`", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "\[Rule]",
+ RowBox[{"89.52096603553598`", "\[VeryThinSpace]", "-",
+ RowBox[{"25.643260970795275`", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{"h0", "\[Rule]",
+ RowBox[{"2.232063394714891`", "\[VeryThinSpace]", "-",
+ RowBox[{"0.11491842738734807`", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{"m0", "\[Rule]",
+ RowBox[{"7.366218397370174`", "\[VeryThinSpace]", "+",
+ RowBox[{"16.89546432113587`", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "\[Rule]",
+ RowBox[{"87.54435056356901`", "\[VeryThinSpace]", "-",
+ RowBox[{"26.003737366760614`", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "\[Rule]",
+ RowBox[{"5.71881399303823`", "\[VeryThinSpace]", "-",
+ RowBox[{"1.8309802485746596`", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{"xc", "\[Rule]",
+ RowBox[{
+ RowBox[{"-", "0.9511105703795997`"}], "+",
+ RowBox[{"0.0392638628436451`", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "\[Rule]",
+ RowBox[{
+ RowBox[{"-", "3.544476534812216`"}], "+",
+ RowBox[{"0.04486055314096258`", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "\[Rule]",
+ RowBox[{
+ RowBox[{"-", "1.7603177014164357`"}], "+",
+ RowBox[{"1.300789184797229`", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "\[Rule]",
+ RowBox[{"19.275494754518984`", "\[VeryThinSpace]", "-",
+ RowBox[{"2.288074906900968`", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], "\[Rule]",
+ RowBox[{"1.4138043638591176`", "\[VeryThinSpace]", "-",
+ RowBox[{"0.6401163546753053`", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], "\[Rule]",
+ RowBox[{
+ RowBox[{"-", "0.23857867774382976`"}], "+",
+ RowBox[{"0.0926378224109066`", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "3", "]"}], "\[Rule]",
+ RowBox[{"40.536553998345504`", "\[VeryThinSpace]", "-",
+ RowBox[{"13.153384765218732`", " ", "\[ImaginaryI]"}]}]}], ",",
+ RowBox[{"\[Lambda]", "\[Rule]", "1"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "6", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "7", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "8", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "9", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "10", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "11", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "12", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "13", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "14", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "4", "]"}], "\[Rule]", "0"}]}], "}"}]], "Output",
+ CellChangeTimes->{{3.715434508517209*^9, 3.715434522717032*^9}, {
+ 3.715434641909253*^9, 3.7154346690053453`*^9}, {3.715434732718875*^9,
+ 3.71543474266555*^9}, {3.715434874497327*^9, 3.7154349441848373`*^9}, {
+ 3.715434994206181*^9, 3.71543503126991*^9}, {3.7154350648871803`*^9,
+ 3.715435112617943*^9}, 3.7154353128301697`*^9, 3.715435371292686*^9, {
+ 3.715435929475428*^9, 3.715435967733891*^9}, 3.715436022773284*^9, {
+ 3.715436134775229*^9, 3.7154363268298492`*^9}, {3.715436930489175*^9,
+ 3.715436999519724*^9}, {3.7154370914993343`*^9, 3.7154371485295057`*^9}, {
+ 3.715437222857874*^9, 3.715437330829975*^9}, {3.71545366851828*^9,
+ 3.715453689778797*^9}, {3.715453725287674*^9, 3.715453823347239*^9}, {
+ 3.715453860861783*^9, 3.715453864559252*^9},
+ 3.715453974598963*^9},ExpressionUUID->"3da6d1e6-c65f-4beb-94f8-\
+6ae8be1c34ce"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]f", "[",
+ RowBox[{"A", ",", "B", ",", "m0", ",", "h0", ",",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",",
+ RowBox[{"ff", "[",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",", "a", ",", "Nf"}], "]"}],
+ ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}], "[",
+ "\[Theta]", "]"}], "/.", "sol4"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ")"}]}], "-", "1"}], "/.",
+ "sol4"}], ",",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}], "+", "1"}], "/.", "sol4"}]}], "}"}],
+ ",",
+ RowBox[{"GridLines", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], "/.", "sol4"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ")"}]}], "/.", "sol4"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}]}], "}"}], ",",
+ "None"}], "}"}]}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7137866834085007`*^9, 3.713786686617977*^9}, {
+ 3.7137867217375593`*^9, 3.713786743847704*^9}, {3.7137868182565737`*^9,
+ 3.713786841939253*^9}, {3.713786924527413*^9, 3.713786974385107*^9}, {
+ 3.713787042137055*^9, 3.713787053211768*^9}, {3.7137870901431293`*^9,
+ 3.713787090608033*^9}, {3.713787341082642*^9, 3.713787364514805*^9}, {
+ 3.713787741825034*^9, 3.713787770799416*^9}, {3.713787886687026*^9,
+ 3.7137878869430323`*^9}, {3.7137888266494427`*^9, 3.713788842463161*^9}, {
+ 3.713792007167099*^9, 3.713792021787199*^9}, 3.7137922767568893`*^9, {
+ 3.7137944309219913`*^9, 3.713794435906933*^9}, {3.713796878199485*^9,
+ 3.713796887244006*^9}, {3.713796921081345*^9, 3.713796926722969*^9},
+ 3.7137971807617273`*^9, {3.713827438749836*^9, 3.7138274538037987`*^9}, {
+ 3.713827581712484*^9, 3.713827582113104*^9}, {3.714130909227974*^9,
+ 3.714130935160301*^9}, {3.7141311982159986`*^9, 3.714131202051044*^9}, {
+ 3.7141312471974087`*^9, 3.714131274688491*^9}, {3.714131759254038*^9,
+ 3.714131761606591*^9}, {3.714132524986391*^9, 3.7141325265937033`*^9}, {
+ 3.7141337230994473`*^9, 3.714133746090554*^9}, {3.7141354101671886`*^9,
+ 3.714135444491536*^9}, {3.7141356514052277`*^9, 3.7141357777377653`*^9}, {
+ 3.714136651714642*^9, 3.714136680207863*^9}, {3.714137789157419*^9,
+ 3.714137796981852*^9}, 3.7141379430983753`*^9, {3.714138196842355*^9,
+ 3.714138197292419*^9}, {3.714222654297492*^9, 3.7142226816813173`*^9}, {
+ 3.7142241379694967`*^9, 3.714224153092409*^9}, {3.714230783232278*^9,
+ 3.714230783558969*^9}, {3.714230818213155*^9, 3.714230826884192*^9}, {
+ 3.7142341440952263`*^9, 3.714234196383806*^9}, {3.714234229569173*^9,
+ 3.714234230040387*^9}, {3.714831591839006*^9, 3.7148315929177227`*^9}, {
+ 3.715434418590646*^9, 3.715434426546102*^9}, {3.715435478227995*^9,
+ 3.715435512599924*^9}, {3.715435553225832*^9, 3.715435560482403*^9}, {
+ 3.7154363327341967`*^9,
+ 3.7154363451897*^9}},ExpressionUUID->"5d18036a-1593-48ad-9b4f-\
+438b8c213ae3"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{}, {},
+ TagBox[{
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6],
+ Opacity[1.], LineBox[CompressedData["
+1:eJw123c41e//B/DDMc4xSrJKyYqSkGQV90EZFVlJlBFKkZBQoRNlfRoSkrLK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+ "]]}},
+ Annotation[#, "Charting`Private`Tag$203050#1"]& ]}}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}},
+ GridLines->{{{1.4668783609556186`, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}, {-1.4668783609556186`, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}}, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-2.4668783609556186`,
+ 2.4668783609556186`}, {-50.398077485167846`, 0.22930916393329426`}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.7137868428211517`*^9, {3.713786876436328*^9, 3.713786880892294*^9}, {
+ 3.713786911917448*^9, 3.713786975636983*^9}, {3.713787043580344*^9,
+ 3.713787054112487*^9}, {3.713787091884612*^9, 3.7137871303293543`*^9}, {
+ 3.7137873441971073`*^9, 3.713787366054718*^9}, {3.713787744869535*^9,
+ 3.713787772248114*^9}, {3.713787873953774*^9, 3.713787896994111*^9}, {
+ 3.7137880959645233`*^9, 3.7137881089961042`*^9}, 3.71378817266117*^9, {
+ 3.713788840185727*^9, 3.713788843629333*^9}, {3.713792008614105*^9,
+ 3.713792022973323*^9}, 3.713792277625108*^9, {3.7137944343878193`*^9,
+ 3.71379443703505*^9}, {3.713796880744809*^9, 3.713796888383353*^9}, {
+ 3.7137969255232973`*^9, 3.713796927819489*^9}, 3.71379718348458*^9, {
+ 3.713827434665268*^9, 3.713827443488235*^9}, 3.713827482758223*^9, {
+ 3.71382758304496*^9, 3.7138276201271763`*^9}, {3.714131200316176*^9,
+ 3.7141312045699244`*^9}, {3.714131254215077*^9, 3.714131277251986*^9},
+ 3.7141317655386057`*^9, {3.714132521860244*^9, 3.714132531422585*^9},
+ 3.714132594800725*^9, {3.714133724809444*^9, 3.714133751537928*^9}, {
+ 3.714135421393217*^9, 3.714135451507843*^9}, {3.71413567570129*^9,
+ 3.7141356998242083`*^9}, {3.714135733025814*^9, 3.7141357840691547`*^9},
+ 3.714136120052483*^9, {3.7141366600281467`*^9, 3.7141366864873533`*^9}, {
+ 3.7141377784504833`*^9, 3.7141377991028967`*^9}, 3.7141379539677057`*^9,
+ 3.714138050818244*^9, {3.714138200060054*^9, 3.7141382064545603`*^9},
+ 3.7142171461980963`*^9, 3.7142188447804413`*^9, 3.714222440250145*^9, {
+ 3.714222657733528*^9, 3.714222683268447*^9}, {3.714224133382696*^9,
+ 3.7142241549538307`*^9}, 3.714225354640583*^9, 3.714225714002556*^9,
+ 3.714227554313645*^9, 3.714227908653563*^9, 3.71422857576338*^9,
+ 3.714228696834524*^9, 3.71422909104809*^9, 3.714229141599442*^9,
+ 3.7142292486960983`*^9, {3.714230780456892*^9, 3.714230785679422*^9}, {
+ 3.7142308157519608`*^9, 3.714230828606016*^9}, 3.7142340780685377`*^9, {
+ 3.714234224600782*^9, 3.714234237139142*^9}, 3.714234307673902*^9,
+ 3.7142348074233837`*^9, 3.714235165607085*^9, 3.714237644331621*^9,
+ 3.71423838237761*^9, 3.714239395610619*^9, {3.7143082194063873`*^9,
+ 3.714308247142568*^9}, {3.714308319830029*^9, 3.7143083930961514`*^9}, {
+ 3.714308799382699*^9, 3.714308818140257*^9}, {3.7143090986415577`*^9,
+ 3.714309199096778*^9}, 3.714309603240282*^9, 3.7143097173721027`*^9,
+ 3.714310140061274*^9, 3.714310672380919*^9, 3.714310721292274*^9,
+ 3.71439357126875*^9, {3.7143937330438223`*^9, 3.714393762637719*^9},
+ 3.7143937939425077`*^9, 3.714400449835739*^9, 3.714739171437193*^9,
+ 3.7147392613657093`*^9, 3.714755299481934*^9, 3.7148067784238777`*^9,
+ 3.714807443165606*^9, 3.714808174359345*^9, 3.714808692060326*^9,
+ 3.7148090847780457`*^9, 3.71483049403199*^9, 3.7148315942188587`*^9,
+ 3.7148317908338337`*^9, 3.714832871422695*^9, 3.714833048025202*^9,
+ 3.7148350215333233`*^9, 3.7148350520243893`*^9, 3.714836047598082*^9, {
+ 3.714836304567871*^9, 3.714836327074108*^9}, 3.7148363910890007`*^9,
+ 3.7148374291199207`*^9, {3.714837724270095*^9, 3.714837750461904*^9},
+ 3.714839281594843*^9, 3.714839485997526*^9, {3.7148399217687073`*^9,
+ 3.714840006646434*^9}, 3.714840752854959*^9, 3.714862651360503*^9,
+ 3.7148627021396103`*^9, 3.714862740801112*^9, {3.714862969293866*^9,
+ 3.7148629824280033`*^9}, 3.714863054085867*^9, 3.71486317733552*^9,
+ 3.714865815026454*^9, 3.7148658759899273`*^9, 3.714865938320684*^9,
+ 3.714866099372448*^9, {3.714906902368848*^9, 3.714906964342436*^9},
+ 3.7149071068285093`*^9, 3.714992401471239*^9, 3.7149924647613163`*^9,
+ 3.71499272340639*^9, {3.714992783201962*^9, 3.714992810689834*^9},
+ 3.714992857604124*^9, 3.714998519298162*^9, 3.715000485307694*^9,
+ 3.7150072939574223`*^9, 3.715007338683172*^9, 3.715008679832819*^9,
+ 3.7150087425044003`*^9, 3.715093138103307*^9, 3.715097504769623*^9,
+ 3.715097672970394*^9, 3.715355281265408*^9, 3.715359544903166*^9,
+ 3.715359799972622*^9, 3.715368503925208*^9, 3.715369266042727*^9,
+ 3.7153696466716003`*^9, 3.715369680270297*^9, 3.7153697982211237`*^9,
+ 3.715369833127049*^9, 3.7153792367576237`*^9, 3.715379353669621*^9,
+ 3.7153794693369417`*^9, 3.7153799919059668`*^9, 3.7153800219739523`*^9,
+ 3.715380137520944*^9, 3.7153807680415697`*^9, 3.715380909939959*^9,
+ 3.715381048917873*^9, 3.7153820791572447`*^9, 3.715382202952688*^9,
+ 3.715382670493622*^9, 3.715383212766765*^9, 3.715410733790126*^9,
+ 3.715434432805786*^9, {3.715435480425231*^9, 3.7154355167695847`*^9}, {
+ 3.7154355580877438`*^9, 3.7154355646310577`*^9}, {3.715436349120124*^9,
+ 3.7154363562698383`*^9}, 3.715437348727098*^9,
+ 3.715453867970738*^9},ExpressionUUID->"d29bb33a-9285-4788-b68a-\
+cf20a7a48d52"]
+}, Open ]],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"func", "=",
+ RowBox[{"Sort", "@",
+ RowBox[{"(",
+ RowBox[{"Reverse", "/@",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{"h0", " ",
+ RowBox[{
+ RowBox[{
+ RowBox[{"ff", "[",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",", "a", ",", "Nf"}],
+ "]"}], "[", "\[Theta]", "]"}], "/",
+ SuperscriptBox[
+ RowBox[{"Abs", "[",
+ RowBox[{"1", "-",
+ SuperscriptBox["\[Theta]", "2"]}], "]"}],
+ "\[CapitalDelta]"]}]}], "/.", "sol4"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "1.0001", ",",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}], "-", "0.0001"}], "/.", "sol4"}],
+ ",", "0.0001"}], "}"}]}], "]"}]}], ")"}]}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.715438683586855*^9, 3.715438727752768*^9}, {
+ 3.715450948431397*^9, 3.715450951329091*^9}, {3.715451135842347*^9,
+ 3.715451139506178*^9}, {3.715453378366768*^9, 3.715453386862279*^9}, {
+ 3.715453884220709*^9,
+ 3.715453887348435*^9}},ExpressionUUID->"ceca6792-4c95-40af-b323-\
+8df0ec39405e"],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"ffunc", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[", "1", "]"}], "]"}], ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-",
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "2"], "-", "1"}], ")"}],
+ RowBox[{"-", "2"}]]}],
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]f", "[",
+ RowBox[{"A", ",", "B", ",", "m0", ",", "h0", ",",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",",
+ RowBox[{"ff", "[",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",", "a", ",", "Nf"}],
+ "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}], "[",
+
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "]"}]}], "/.", "sol4"}]}], "}"}],
+ "&"}], "/@", "func"}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.7154395411410637`*^9, 3.715439547951919*^9}, {
+ 3.7154509571994677`*^9, 3.715450957295442*^9}, {3.715451142266234*^9,
+ 3.71545114236235*^9}, {3.715452269965703*^9, 3.715452290755897*^9},
+ 3.715452320756687*^9, 3.715452703106594*^9, {3.715453382548925*^9,
+ 3.715453382652754*^9}, {3.7154538901487503`*^9,
+ 3.7154538904685183`*^9}},ExpressionUUID->"be68e52c-4312-46c3-8922-\
+592d897d46b9"],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Show", "[",
+ RowBox[{
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ",", "B", ",",
+ RowBox[{
+ RowBox[{"\[ScriptCapitalM]0", "/", "B"}], "/",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ")"}]}]}], "]"}], "[", "X",
+ "]"}]}], ",",
+ RowBox[{"{",
+ RowBox[{"X", ",", "0.01", ",", "1300"}], "}"}]}], "]"}], ",",
+ RowBox[{"ListPlot", "[", "ffunc", "]"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.715450826704771*^9, 3.715450859006007*^9}, {
+ 3.7154508983426113`*^9, 3.715450925088727*^9}, {3.71545096479988*^9,
+ 3.715450991631981*^9}, {3.7154510502434196`*^9, 3.7154510502889957`*^9}, {
+ 3.7154511488427477`*^9, 3.715451154546444*^9}, {3.715452298652625*^9,
+ 3.7154523381088657`*^9}},ExpressionUUID->"550d33b5-daa3-4baf-92aa-\
+c15ded8a2e99"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{{}, {},
+ TagBox[{
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6],
+ Opacity[1.], LineBox[CompressedData["
+1:eJwVynk4lAkAx/EpW1aOttqdcVYeK83W9pRJk8T8aKcDOWZqRSVGyZFyFpEZ
+ZpYZNMbMq8b9vpVqCYuKRxS7SlGqJ8c2jKV0oCyr3Zaubf/4Pp9/vpaCI7wD
+s2k02vbP/a+EpbBsl0o43JbOlhJTGUczY9tzZrmG007j+lYYDnIMGi5VCHtG
+OE4VL3p1uv7klOaFmhyumOZ4mbIvWfZ84kTpbfV8ptZDpfZsSu28r+DjzB4l
+5Sa4ayRO3LNtKVy1lXXJMiZOyZjpHRdX44fysOLXafYovf9afnm5M7R6Id0B
+aldcW1GNimwe1CzDVbwWPtj1T3wqV++B/WPRwbl0P9wzGB7/ZUSAHRYCZ4Pj
+AfCwoWkH14fAu96qKrwyCLNjFi4RO0Zg3Zp7hsdtDqIgM9WG5R+F3GfiC96K
+MOjnz7ivZcTCQeJHCa9HgPXA5ere80exX2SwuVIdiQShZvxCVALu1SaOta2N
+xkN9Z92MBUl4I4ladet2DMbfpjAbLiTDiNs3YiaMQ1Dz/tDRRhHcZnZ0mxsf
+Q6BZsIFgfiqSil3s4x7Fg504Z7tWKEb44NUNNWnHYcvb5m8xJsEJhsOhfPMk
+2EY+ybHmpCHVkUXXdJ1A8Tuph1N1Osp2OUy0HhGC6+we0a0vQ1D1bkp8SoTZ
+C6z+VUky4DVnrwkjKgX8lsAn+W8z0ckPZtIcUzHAJbKv8E7iVt7Pf39tKsav
+Wutew7tyeGre+ApfirHRzjz+LF2BulAdw/4aCXRX2g+sblUgb8Itab/8J6TY
+cvpeinJgEF0odtmdBpVeyrrwNUpMjy7b4m6fDvHlxCqrSSVu1sT6bl0kxXDy
+y+DWChWs4tp18gek8C+h2Eb+BGZW+YUxrskwb+kSZr5FLoKeToaMSjNgmufR
+cP1BLsqfu1+t4mXiR0FhZJnoFA4NDd0gv89CNLfq8VPOadzoSQ58MZ2FhbqZ
+LQV/nUbWbztv+PaehCVbQZVfVmO0bO0Vy/NyvFPbx68My4PNUM90X2Q2qnat
+/Cgwz8eRdN8PAcsUkIiqI95r86Eq6LjzMUsBmzue2gllAWLL/WJt3ysQ+OD2
+FW+fQrQK3DbvC81BXeWITrBxEfYyMLzufg6czsz5p/luEWrbvAPOOSoR2cu/
+rVQUgxd38GLjOSUCg6YnyS0lCGgfYHTqqXCfrBzd/q4EK8xiDsQdU0EPXQ8d
+95HghOoL27QqGDN5CGsg8Tx0KkDxhwpmC+h5sxpJyMP6XHyHVBDbjU+pm0j0
+h5fPHRtWISdOU9XWTCLhsJvc6JUKI2eKYN1GojYms2jnjAo+XqU3Bx+RsEnW
+b3xKJxBfGLnH9zWJzuSpokvGBByrAt5OjJM4KuwTxpkS0CzeR0gnSLSKyjfp
+LibQ75fWVTdFIlDs1vGdNQE+KyGCPkOiQJqpiWIRGBxrm9U1l4KLLKZxgx2B
+VwxpU/iXFEZlu4t12AQONfETdeZRWJ+5QpC7gcAXTQYfbA0pdJ/sGKl3JrAx
+g2aiXEQhSV7bkbKJgNMJxjPmNxS+zS6ocOUS0E9cX9tCpxCtCI/q3/r5Hzy9
+c9KEgkkOn1/qSsD/VTdTZkahOcfB7rA7gWp3C5qlBYUQpRWD7UGg7FHE7/WL
+KcxX6U9/8iTQxG+r8VpK4T+Tpz8B
+ "]]}},
+ Annotation[#,
+ "Charting`Private`Tag$203096#1"]& ]}}, {}, {}}, {{}, {{{}, {
+ {RGBColor[0.368417, 0.506779, 0.709798], PointSize[
+ 0.004583333333333334], AbsoluteThickness[1.6],
+ PointBox[CompressedData["
+1:eJwUmHc81f8Xx8mIIpVKJEIK3zLKXlGkklEyKiqrgWgoSSqRShpGKKmUaGjI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+
+ "]]}}, {}}}, {}, {}, {{}, {}}, {{}, {}}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{0.01, 1300}, {0., 2192.157613972637}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{{3.7154509122064047`*^9, 3.715450925506069*^9}, {
+ 3.7154509774830713`*^9, 3.715450992096867*^9}, {3.715451040855282*^9,
+ 3.715451050804892*^9}, 3.715451087308104*^9, {3.715451145878537*^9,
+ 3.71545115484577*^9}, 3.71545142902164*^9, {3.715452271329567*^9,
+ 3.7154523383821898`*^9}, {3.715452695671526*^9, 3.715452704012073*^9},
+ 3.715452785421548*^9, 3.715453313995865*^9, 3.7154534013053493`*^9,
+ 3.71545361947995*^9,
+ 3.715453897802549*^9},ExpressionUUID->"8c67ba7b-d985-46e5-a7d6-\
+dff2a9340ba3"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"sol5", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"FindRoot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"eqsl", "[",
+ RowBox[{"[",
+ RowBox[{";;", "4"}], "]"}], "]"}], ",",
+ RowBox[{"eqsm", "[",
+ RowBox[{"[",
+ RowBox[{";;", "4"}], "]"}], "]"}], ",",
+ RowBox[{"eqsh", "[",
+ RowBox[{"[",
+ RowBox[{";;", "3"}], "]"}], "]"}]}], "]"}], "/.",
+ RowBox[{"\[Theta]c", "\[Rule]",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}]}]}], "/.", "#"}], ",",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"A", ",",
+ RowBox[{"A", "/.", "sol4"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "/.", "sol4"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"xc", ",",
+ RowBox[{"xc", "/.", "sol4"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"h0", ",",
+ RowBox[{"h0", "/.", "sol4"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"m0", ",",
+ RowBox[{"m0", "/.", "sol4"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "/.", "sol4"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "/.", "sol4"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "/.", "sol4"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "/.", "sol4"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], "/.", "sol4"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "/.", "sol4"}]}], "}"}]}], "}"}],
+ "+",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"0", ",",
+ RowBox[{"0.1",
+ RowBox[{"RandomReal", "[",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"-", "1"}], ",", "1"}], "}"}], "]"}]}]}], "}"}],
+ "&"}], "/@",
+ RowBox[{"Range", "[", "11", "]"}]}], ")"}]}], ",",
+ RowBox[{"MaxIterations", "\[Rule]", "100"}]}], "]"}], ",", "#"}],
+ "]"}], "&"}], "@",
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"\[Lambda]", "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}]}], "}"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"a", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "5", ",", "14"}], "}"}]}], "]"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"b", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "3", ",", "4"}], "}"}]}], "]"}]}],
+ "]"}]}]}]], "Input",
+ CellChangeTimes->{{3.714830189789303*^9, 3.714830247113008*^9}, {
+ 3.714830370291354*^9, 3.7148304020998793`*^9}, {3.714830438333508*^9,
+ 3.714830492396922*^9}, {3.7148315519116983`*^9, 3.714831586639285*^9}, {
+ 3.714831775402582*^9, 3.714831788201981*^9}, {3.714832864307414*^9,
+ 3.714832868444124*^9}, {3.714833031190621*^9, 3.714833044877865*^9}, {
+ 3.714834919749164*^9, 3.71483502667636*^9}, {3.714836043615963*^9,
+ 3.714836044589387*^9}, {3.714836290637068*^9, 3.714836324225964*^9}, {
+ 3.714836388083845*^9, 3.714836388262471*^9}, {3.714837415845621*^9,
+ 3.714837426131487*^9}, {3.7148377212331553`*^9, 3.714837747792254*^9}, {
+ 3.7148392507136106`*^9, 3.714839277104413*^9}, {3.71483978480295*^9,
+ 3.714839809483008*^9}, {3.714839847371202*^9, 3.714839847406069*^9}, {
+ 3.71483988740263*^9, 3.714840005018692*^9}, {3.714840743913506*^9,
+ 3.7148407501038113`*^9}, {3.714862699130905*^9, 3.714862699795854*^9}, {
+ 3.714862738005735*^9, 3.71486273821253*^9}, {3.71486289724051*^9,
+ 3.714862979688342*^9}, {3.714863014778574*^9, 3.714863050057831*^9}, {
+ 3.714863159717188*^9, 3.7148631737709723`*^9}, {3.714865798463542*^9,
+ 3.714865812385675*^9}, {3.714865849921479*^9, 3.714866147921616*^9},
+ 3.714866191109682*^9, {3.714866464163389*^9, 3.714866480144454*^9}, {
+ 3.7148666669176483`*^9, 3.714866669139514*^9}, {3.714903915141719*^9,
+ 3.7149039311237593`*^9}, {3.7149046080389223`*^9, 3.714904609087657*^9}, {
+ 3.714905323493993*^9, 3.714905334954818*^9}, {3.714906611952711*^9,
+ 3.7149066120629663`*^9}, {3.714906866596887*^9, 3.714906961540287*^9}, {
+ 3.7149069965234413`*^9, 3.714907097638844*^9}, {3.714907131553007*^9,
+ 3.7149071751401873`*^9}, {3.7149928618648252`*^9, 3.714992925372754*^9}, {
+ 3.7149930670850677`*^9, 3.714993073952259*^9}, {3.7149931597991943`*^9,
+ 3.714993180305662*^9}, {3.714993784389737*^9, 3.714993798480569*^9}, {
+ 3.7149941053628273`*^9, 3.714994106716889*^9}, {3.714994374387026*^9,
+ 3.7149944171368856`*^9}, {3.714994449502027*^9, 3.714994466031096*^9}, {
+ 3.7149945294375277`*^9, 3.714994538925222*^9}, {3.7149985477412786`*^9,
+ 3.71499856076299*^9}, {3.7153800402668056`*^9, 3.715380063819003*^9}, {
+ 3.7153801112277822`*^9, 3.7153801113018713`*^9}, {3.7153802543775787`*^9,
+ 3.715380254503901*^9}, {3.7153802981992283`*^9, 3.715380299334661*^9}, {
+ 3.7153808495966997`*^9, 3.715380893631353*^9}, {3.715381067078323*^9,
+ 3.7153810689551067`*^9}, {3.71538117638953*^9, 3.7153811850848*^9}, {
+ 3.715381347168209*^9, 3.7153814376329613`*^9}, {3.715381505450323*^9,
+ 3.715381566338889*^9}, {3.7153816285483313`*^9, 3.715381637755931*^9}, {
+ 3.715381755806457*^9, 3.715381777816703*^9}, {3.715382159948557*^9,
+ 3.715382172412593*^9}, {3.715382221973988*^9, 3.715382257917728*^9}, {
+ 3.715382315687036*^9, 3.715382316406804*^9}, {3.715382487306398*^9,
+ 3.71538252434626*^9}, {3.715382689829625*^9, 3.715382811648841*^9}, {
+ 3.715410717351038*^9, 3.715410846842925*^9}, {3.7154124886862698`*^9,
+ 3.7154125070299397`*^9}, {3.715434458569008*^9, 3.715434521869276*^9}, {
+ 3.715434566574203*^9, 3.7154346403762608`*^9}, {3.715434749951892*^9,
+ 3.715434789899193*^9}, {3.715434920483838*^9, 3.715435037510107*^9}, {
+ 3.715435915463377*^9, 3.71543595887784*^9}, {3.715436050151799*^9,
+ 3.7154360503521852`*^9}, {3.715436139499629*^9, 3.7154361640828*^9}, {
+ 3.7154361947577353`*^9, 3.7154361949656773`*^9}, {3.715436256244617*^9,
+ 3.7154362778711033`*^9}, {3.715436324810646*^9, 3.715436325155998*^9}, {
+ 3.715436375549789*^9, 3.715436424167564*^9}, {3.715436494349065*^9,
+ 3.7154365095552607`*^9}, {3.715436545874235*^9, 3.715436679968976*^9}, {
+ 3.715436846663721*^9, 3.715436896512105*^9}, {3.715437356961924*^9,
+ 3.7154374017994633`*^9}, {3.715437440079638*^9,
+ 3.715437459611669*^9}},ExpressionUUID->"9bf870db-226c-48c2-a135-\
+05ec91992349"],
+
+Cell[BoxData[
+ TemplateBox[{
+ "FindRoot","jsing",
+ "\"Encountered a singular Jacobian at the point \
+\\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\\\"A\\\", \\\",\\\", RowBox[{\\\"b\\\", \
+\\\"[\\\", \\\"0\\\", \\\"]\\\"}], \\\",\\\", \\\"xc\\\", \\\",\\\", \\\"h0\\\
+\", \\\",\\\", \\\"m0\\\", \\\",\\\", RowBox[{\\\"a\\\", \\\"[\\\", \
+\\\"1\\\", \\\"]\\\"}], \\\",\\\", RowBox[{\\\"b\\\", \\\"[\\\", \\\"1\\\", \
+\\\"]\\\"}], \\\",\\\", RowBox[{\\\"a\\\", \\\"[\\\", \\\"2\\\", \\\"]\\\"}], \
+\\\",\\\", RowBox[{\\\"a\\\", \\\"[\\\", \\\"3\\\", \\\"]\\\"}], \\\",\\\", \
+RowBox[{\\\"a\\\", \\\"[\\\", \\\"4\\\", \\\"]\\\"}], \\\",\\\", \
+RowBox[{\\\"b\\\", \\\"[\\\", \\\"2\\\", \\\"]\\\"}]}], \\\"}\\\"}]\\) = \
+\\!\\(\\*RowBox[{\\\"{\\\", RowBox[{RowBox[{\\\"-\\\", \\\"80.21517889574278`\
+\\\"}], \\\",\\\", \\\"55.921326695855626`\\\", \\\",\\\", RowBox[{\\\"-\\\", \
+\\\"0.7332345880837914`\\\"}], \\\",\\\", \\\"2.179041021552869`\\\", \\\",\\\
+\", \\\"0.6389886648310736`\\\", \\\",\\\", \\\"4.682923605419695`\\\", \\\",\
+\\\", \\\"63.963363535869775`\\\", \\\",\\\", RowBox[{\\\"-\\\", \
+\\\"2.959891016309119`\\\"}], \\\",\\\", RowBox[{\\\"-\\\", \
+\\\"1.2495718366037623`\\\"}], \\\",\\\", \\\"0.8964876460194289`\\\", \
+\\\",\\\", \\\"15.41103723116229`\\\"}], \\\"}\\\"}]\\). Try perturbing the \
+initial point(s).\"",2,572,385,30811906196057744771,"Local"},
+ "MessageTemplate"]], "Message", "MSG",
+ CellChangeTimes->{{3.715453955454111*^9,
+ 3.71545396032342*^9}},ExpressionUUID->"71057e44-3e0a-4b79-ac64-\
+4a9f862ec019"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"A", "\[Rule]",
+ RowBox[{"-", "80.21517889574278`"}]}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "\[Rule]", "55.921326695855626`"}], ",",
+ RowBox[{"xc", "\[Rule]",
+ RowBox[{"-", "0.7332345880837914`"}]}], ",",
+ RowBox[{"h0", "\[Rule]", "2.179041021552869`"}], ",",
+ RowBox[{"m0", "\[Rule]", "0.6389886648310736`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "\[Rule]", "4.682923605419695`"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "\[Rule]", "63.963363535869775`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "\[Rule]",
+ RowBox[{"-", "2.959891016309119`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "\[Rule]",
+ RowBox[{"-", "1.2495718366037623`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], "\[Rule]", "0.8964876460194289`"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "\[Rule]", "15.41103723116229`"}], ",",
+ RowBox[{"\[Lambda]", "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "6", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "7", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "8", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "9", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "10", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "11", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "12", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "13", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "14", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "3", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "4", "]"}], "\[Rule]", "0"}]}], "}"}]], "Output",
+ CellChangeTimes->{{3.715434508517209*^9, 3.715434522717032*^9}, {
+ 3.715434641909253*^9, 3.7154346690053453`*^9}, {3.715434732718875*^9,
+ 3.71543474266555*^9}, {3.715434874497327*^9, 3.7154349441848373`*^9}, {
+ 3.715434994206181*^9, 3.71543503126991*^9}, {3.7154350648871803`*^9,
+ 3.715435112617943*^9}, 3.7154353128301697`*^9, 3.715435371292686*^9, {
+ 3.715435929475428*^9, 3.715435967733891*^9}, 3.715436022773284*^9, {
+ 3.715436134775229*^9, 3.7154363268298492`*^9}, {3.715436536472657*^9,
+ 3.7154366816436872`*^9}, {3.7154368527870703`*^9, 3.715436900631548*^9},
+ 3.715437404374403*^9, {3.715437455040145*^9, 3.7154374631756477`*^9}, {
+ 3.7154539554553213`*^9,
+ 3.715453960331006*^9}},ExpressionUUID->"5734ebde-1202-497c-9138-\
+a75d194bfef1"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]f", "[",
+ RowBox[{"A", ",", "B", ",", "m0", ",", "h0", ",",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",",
+ RowBox[{"ff", "[",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",", "a", ",", "Nf"}], "]"}],
+ ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}], "[",
+ "\[Theta]", "]"}], "/.", "sol5"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ")"}]}], "-", ".1"}], "/.",
+ "sol5"}], ",",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}], "+", ".1"}], "/.", "sol5"}]}], "}"}],
+ ",",
+ RowBox[{"GridLines", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], "/.", "sol5"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ")"}]}], "/.", "sol5"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}]}], "}"}], ",",
+ "None"}], "}"}]}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7137866834085007`*^9, 3.713786686617977*^9}, {
+ 3.7137867217375593`*^9, 3.713786743847704*^9}, {3.7137868182565737`*^9,
+ 3.713786841939253*^9}, {3.713786924527413*^9, 3.713786974385107*^9}, {
+ 3.713787042137055*^9, 3.713787053211768*^9}, {3.7137870901431293`*^9,
+ 3.713787090608033*^9}, {3.713787341082642*^9, 3.713787364514805*^9}, {
+ 3.713787741825034*^9, 3.713787770799416*^9}, {3.713787886687026*^9,
+ 3.7137878869430323`*^9}, {3.7137888266494427`*^9, 3.713788842463161*^9}, {
+ 3.713792007167099*^9, 3.713792021787199*^9}, 3.7137922767568893`*^9, {
+ 3.7137944309219913`*^9, 3.713794435906933*^9}, {3.713796878199485*^9,
+ 3.713796887244006*^9}, {3.713796921081345*^9, 3.713796926722969*^9},
+ 3.7137971807617273`*^9, {3.713827438749836*^9, 3.7138274538037987`*^9}, {
+ 3.713827581712484*^9, 3.713827582113104*^9}, {3.714130909227974*^9,
+ 3.714130935160301*^9}, {3.7141311982159986`*^9, 3.714131202051044*^9}, {
+ 3.7141312471974087`*^9, 3.714131274688491*^9}, {3.714131759254038*^9,
+ 3.714131761606591*^9}, {3.714132524986391*^9, 3.7141325265937033`*^9}, {
+ 3.7141337230994473`*^9, 3.714133746090554*^9}, {3.7141354101671886`*^9,
+ 3.714135444491536*^9}, {3.7141356514052277`*^9, 3.7141357777377653`*^9}, {
+ 3.714136651714642*^9, 3.714136680207863*^9}, {3.714137789157419*^9,
+ 3.714137796981852*^9}, 3.7141379430983753`*^9, {3.714138196842355*^9,
+ 3.714138197292419*^9}, {3.714222654297492*^9, 3.7142226816813173`*^9}, {
+ 3.7142241379694967`*^9, 3.714224153092409*^9}, {3.714230783232278*^9,
+ 3.714230783558969*^9}, {3.714230818213155*^9, 3.714230826884192*^9}, {
+ 3.7142341440952263`*^9, 3.714234196383806*^9}, {3.714234229569173*^9,
+ 3.714234230040387*^9}, {3.714831591839006*^9, 3.7148315929177227`*^9}, {
+ 3.715434418590646*^9, 3.715434426546102*^9}, {3.715435478227995*^9,
+ 3.715435512599924*^9}, {3.715435553225832*^9, 3.715435560482403*^9}, {
+ 3.7154363327341967`*^9, 3.7154363451897*^9}, {3.715436686902709*^9,
+ 3.7154366961241283`*^9}, {3.715437422540103*^9, 3.715437424552966*^9}},
+ ExpressionUUID -> "5d18036a-1593-48ad-9b4f-438b8c213ae3"],
+
+Cell[BoxData[
+ GraphicsBox[{{{}, {},
+ TagBox[
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6], Opacity[
+ 1.], LineBox[CompressedData["
+1:eJw12nk0Vd/7B/CrKEUllDGpVJKxUFROZWoQKoRUUoQiU+ZZpAgVImOGTJEp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+ "]]},
+ Annotation[#, "Charting`Private`Tag$38756#1"]& ]}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}},
+ GridLines->{{{1.5488075148843004`, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}, {-1.5488075148843004`, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}}, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 2, 2][#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 2, 2][#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-1.6488075148843004`,
+ 1.6488075148843004`}, {-0.8281820559689237, 0.6370197795969545}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.7137868428211517`*^9, {3.713786876436328*^9, 3.713786880892294*^9}, {
+ 3.713786911917448*^9, 3.713786975636983*^9}, {3.713787043580344*^9,
+ 3.713787054112487*^9}, {3.713787091884612*^9, 3.7137871303293543`*^9}, {
+ 3.7137873441971073`*^9, 3.713787366054718*^9}, {3.713787744869535*^9,
+ 3.713787772248114*^9}, {3.713787873953774*^9, 3.713787896994111*^9}, {
+ 3.7137880959645233`*^9, 3.7137881089961042`*^9}, 3.71378817266117*^9, {
+ 3.713788840185727*^9, 3.713788843629333*^9}, {3.713792008614105*^9,
+ 3.713792022973323*^9}, 3.713792277625108*^9, {3.7137944343878193`*^9,
+ 3.71379443703505*^9}, {3.713796880744809*^9, 3.713796888383353*^9}, {
+ 3.7137969255232973`*^9, 3.713796927819489*^9}, 3.71379718348458*^9, {
+ 3.713827434665268*^9, 3.713827443488235*^9}, 3.713827482758223*^9, {
+ 3.71382758304496*^9, 3.7138276201271763`*^9}, {3.714131200316176*^9,
+ 3.7141312045699244`*^9}, {3.714131254215077*^9, 3.714131277251986*^9},
+ 3.7141317655386057`*^9, {3.714132521860244*^9, 3.714132531422585*^9},
+ 3.714132594800725*^9, {3.714133724809444*^9, 3.714133751537928*^9}, {
+ 3.714135421393217*^9, 3.714135451507843*^9}, {3.71413567570129*^9,
+ 3.7141356998242083`*^9}, {3.714135733025814*^9, 3.7141357840691547`*^9},
+ 3.714136120052483*^9, {3.7141366600281467`*^9, 3.7141366864873533`*^9}, {
+ 3.7141377784504833`*^9, 3.7141377991028967`*^9}, 3.7141379539677057`*^9,
+ 3.714138050818244*^9, {3.714138200060054*^9, 3.7141382064545603`*^9},
+ 3.7142171461980963`*^9, 3.7142188447804413`*^9, 3.714222440250145*^9, {
+ 3.714222657733528*^9, 3.714222683268447*^9}, {3.714224133382696*^9,
+ 3.7142241549538307`*^9}, 3.714225354640583*^9, 3.714225714002556*^9,
+ 3.714227554313645*^9, 3.714227908653563*^9, 3.71422857576338*^9,
+ 3.714228696834524*^9, 3.71422909104809*^9, 3.714229141599442*^9,
+ 3.7142292486960983`*^9, {3.714230780456892*^9, 3.714230785679422*^9}, {
+ 3.7142308157519608`*^9, 3.714230828606016*^9}, 3.7142340780685377`*^9, {
+ 3.714234224600782*^9, 3.714234237139142*^9}, 3.714234307673902*^9,
+ 3.7142348074233837`*^9, 3.714235165607085*^9, 3.714237644331621*^9,
+ 3.71423838237761*^9, 3.714239395610619*^9, {3.7143082194063873`*^9,
+ 3.714308247142568*^9}, {3.714308319830029*^9, 3.7143083930961514`*^9}, {
+ 3.714308799382699*^9, 3.714308818140257*^9}, {3.7143090986415577`*^9,
+ 3.714309199096778*^9}, 3.714309603240282*^9, 3.7143097173721027`*^9,
+ 3.714310140061274*^9, 3.714310672380919*^9, 3.714310721292274*^9,
+ 3.71439357126875*^9, {3.7143937330438223`*^9, 3.714393762637719*^9},
+ 3.7143937939425077`*^9, 3.714400449835739*^9, 3.714739171437193*^9,
+ 3.7147392613657093`*^9, 3.714755299481934*^9, 3.7148067784238777`*^9,
+ 3.714807443165606*^9, 3.714808174359345*^9, 3.714808692060326*^9,
+ 3.7148090847780457`*^9, 3.71483049403199*^9, 3.7148315942188587`*^9,
+ 3.7148317908338337`*^9, 3.714832871422695*^9, 3.714833048025202*^9,
+ 3.7148350215333233`*^9, 3.7148350520243893`*^9, 3.714836047598082*^9, {
+ 3.714836304567871*^9, 3.714836327074108*^9}, 3.7148363910890007`*^9,
+ 3.7148374291199207`*^9, {3.714837724270095*^9, 3.714837750461904*^9},
+ 3.714839281594843*^9, 3.714839485997526*^9, {3.7148399217687073`*^9,
+ 3.714840006646434*^9}, 3.714840752854959*^9, 3.714862651360503*^9,
+ 3.7148627021396103`*^9, 3.714862740801112*^9, {3.714862969293866*^9,
+ 3.7148629824280033`*^9}, 3.714863054085867*^9, 3.71486317733552*^9,
+ 3.714865815026454*^9, 3.7148658759899273`*^9, 3.714865938320684*^9,
+ 3.714866099372448*^9, {3.714906902368848*^9, 3.714906964342436*^9},
+ 3.7149071068285093`*^9, 3.714992401471239*^9, 3.7149924647613163`*^9,
+ 3.71499272340639*^9, {3.714992783201962*^9, 3.714992810689834*^9},
+ 3.714992857604124*^9, 3.714998519298162*^9, 3.715000485307694*^9,
+ 3.7150072939574223`*^9, 3.715007338683172*^9, 3.715008679832819*^9,
+ 3.7150087425044003`*^9, 3.715093138103307*^9, 3.715097504769623*^9,
+ 3.715097672970394*^9, 3.715355281265408*^9, 3.715359544903166*^9,
+ 3.715359799972622*^9, 3.715368503925208*^9, 3.715369266042727*^9,
+ 3.7153696466716003`*^9, 3.715369680270297*^9, 3.7153697982211237`*^9,
+ 3.715369833127049*^9, 3.7153792367576237`*^9, 3.715379353669621*^9,
+ 3.7153794693369417`*^9, 3.7153799919059668`*^9, 3.7153800219739523`*^9,
+ 3.715380137520944*^9, 3.7153807680415697`*^9, 3.715380909939959*^9,
+ 3.715381048917873*^9, 3.7153820791572447`*^9, 3.715382202952688*^9,
+ 3.715382670493622*^9, 3.715383212766765*^9, 3.715410733790126*^9,
+ 3.715434432805786*^9, {3.715435480425231*^9, 3.7154355167695847`*^9}, {
+ 3.7154355580877438`*^9, 3.7154355646310577`*^9}, {3.715436349120124*^9,
+ 3.7154363562698383`*^9}, 3.71543670723903*^9, {3.715437416368321*^9,
+ 3.7154374314322033`*^9}, 3.715437472496801*^9},
+ ExpressionUUID -> "ed89f101-ab66-4076-8913-f03c34eda116"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"sol6", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"FindRoot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"eqsl", "[",
+ RowBox[{"[",
+ RowBox[{";;", "5"}], "]"}], "]"}], ",",
+ RowBox[{"eqsm", "[",
+ RowBox[{"[",
+ RowBox[{";;", "5"}], "]"}], "]"}], ",",
+ RowBox[{"eqsh", "[",
+ RowBox[{"[",
+ RowBox[{";;", "3"}], "]"}], "]"}]}], "]"}], "/.",
+ RowBox[{"\[Theta]c", "\[Rule]",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}]}]}], "/.", "#"}], ",",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"A", ",",
+ RowBox[{"A", "/.", "sol5"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "/.", "sol5"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"xc", ",",
+ RowBox[{"xc", "/.", "sol5"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"h0", ",",
+ RowBox[{"h0", "/.", "sol5"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"m0", ",",
+ RowBox[{"m0", "/.", "sol5"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "/.", "sol5"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "/.", "sol5"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "/.", "sol5"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "/.", "sol5"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], "/.", "sol5"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "/.", "sol5"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], "/.", "sol5"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "6", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "6", "]"}], "/.", "sol5"}]}], "}"}]}], "}"}],
+ "+",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"0", ",",
+ RowBox[{"0.1",
+ RowBox[{"RandomReal", "[",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"-", "1"}], ",", "1"}], "}"}], "]"}]}]}], "}"}],
+ "&"}], "/@",
+ RowBox[{"Range", "[", "13", "]"}]}], ")"}]}], ",",
+ RowBox[{"MaxIterations", "\[Rule]", "100"}]}], "]"}], ",", "#"}],
+ "]"}], "&"}], "@",
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"\[Lambda]", "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}]}], "}"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"a", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "7", ",", "14"}], "}"}]}], "]"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"b", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "3", ",", "4"}], "}"}]}], "]"}]}],
+ "]"}]}]}]], "Input",
+ CellChangeTimes->{{3.714830189789303*^9, 3.714830247113008*^9}, {
+ 3.714830370291354*^9, 3.7148304020998793`*^9}, {3.714830438333508*^9,
+ 3.714830492396922*^9}, {3.7148315519116983`*^9, 3.714831586639285*^9}, {
+ 3.714831775402582*^9, 3.714831788201981*^9}, {3.714832864307414*^9,
+ 3.714832868444124*^9}, {3.714833031190621*^9, 3.714833044877865*^9}, {
+ 3.714834919749164*^9, 3.71483502667636*^9}, {3.714836043615963*^9,
+ 3.714836044589387*^9}, {3.714836290637068*^9, 3.714836324225964*^9}, {
+ 3.714836388083845*^9, 3.714836388262471*^9}, {3.714837415845621*^9,
+ 3.714837426131487*^9}, {3.7148377212331553`*^9, 3.714837747792254*^9}, {
+ 3.7148392507136106`*^9, 3.714839277104413*^9}, {3.71483978480295*^9,
+ 3.714839809483008*^9}, {3.714839847371202*^9, 3.714839847406069*^9}, {
+ 3.71483988740263*^9, 3.714840005018692*^9}, {3.714840743913506*^9,
+ 3.7148407501038113`*^9}, {3.714862699130905*^9, 3.714862699795854*^9}, {
+ 3.714862738005735*^9, 3.71486273821253*^9}, {3.71486289724051*^9,
+ 3.714862979688342*^9}, {3.714863014778574*^9, 3.714863050057831*^9}, {
+ 3.714863159717188*^9, 3.7148631737709723`*^9}, {3.714865798463542*^9,
+ 3.714865812385675*^9}, {3.714865849921479*^9, 3.714866147921616*^9},
+ 3.714866191109682*^9, {3.714866464163389*^9, 3.714866480144454*^9}, {
+ 3.7148666669176483`*^9, 3.714866669139514*^9}, {3.714903915141719*^9,
+ 3.7149039311237593`*^9}, {3.7149046080389223`*^9, 3.714904609087657*^9}, {
+ 3.714905323493993*^9, 3.714905334954818*^9}, {3.714906611952711*^9,
+ 3.7149066120629663`*^9}, {3.714906866596887*^9, 3.714906961540287*^9}, {
+ 3.7149069965234413`*^9, 3.714907097638844*^9}, {3.714907131553007*^9,
+ 3.7149071751401873`*^9}, {3.7149928618648252`*^9, 3.714992925372754*^9}, {
+ 3.7149930670850677`*^9, 3.714993073952259*^9}, {3.7149931597991943`*^9,
+ 3.714993180305662*^9}, {3.714993784389737*^9, 3.714993798480569*^9}, {
+ 3.7149941053628273`*^9, 3.714994106716889*^9}, {3.714994374387026*^9,
+ 3.7149944171368856`*^9}, {3.714994449502027*^9, 3.714994466031096*^9}, {
+ 3.7149945294375277`*^9, 3.714994538925222*^9}, {3.7149985477412786`*^9,
+ 3.71499856076299*^9}, {3.7153800402668056`*^9, 3.715380063819003*^9}, {
+ 3.7153801112277822`*^9, 3.7153801113018713`*^9}, {3.7153802543775787`*^9,
+ 3.715380254503901*^9}, {3.7153802981992283`*^9, 3.715380299334661*^9}, {
+ 3.7153808495966997`*^9, 3.715380893631353*^9}, {3.715381067078323*^9,
+ 3.7153810689551067`*^9}, {3.71538117638953*^9, 3.7153811850848*^9}, {
+ 3.715381347168209*^9, 3.7153814376329613`*^9}, {3.715381505450323*^9,
+ 3.715381566338889*^9}, {3.7153816285483313`*^9, 3.715381637755931*^9}, {
+ 3.715381755806457*^9, 3.715381777816703*^9}, {3.715382159948557*^9,
+ 3.715382172412593*^9}, {3.715382221973988*^9, 3.715382257917728*^9}, {
+ 3.715382315687036*^9, 3.715382316406804*^9}, {3.715382487306398*^9,
+ 3.71538252434626*^9}, {3.715382689829625*^9, 3.715382811648841*^9}, {
+ 3.715410717351038*^9, 3.715410846842925*^9}, {3.7154124886862698`*^9,
+ 3.7154125070299397`*^9}, {3.715434458569008*^9, 3.715434521869276*^9}, {
+ 3.715434566574203*^9, 3.7154346403762608`*^9}, {3.715434749951892*^9,
+ 3.715434789899193*^9}, {3.715434920483838*^9, 3.715435037510107*^9}, {
+ 3.715435915463377*^9, 3.71543595887784*^9}, {3.715436050151799*^9,
+ 3.7154360503521852`*^9}, {3.715436139499629*^9, 3.7154361640828*^9}, {
+ 3.7154361947577353`*^9, 3.7154361949656773`*^9}, {3.715436256244617*^9,
+ 3.7154362778711033`*^9}, {3.715436324810646*^9, 3.715436325155998*^9}, {
+ 3.715436375549789*^9, 3.715436424167564*^9}, {3.715436494349065*^9,
+ 3.7154365095552607`*^9}, {3.715436545874235*^9, 3.715436679968976*^9}, {
+ 3.715436846663721*^9, 3.715436896512105*^9}, {3.715437356961924*^9,
+ 3.7154374017994633`*^9}, {3.715437440079638*^9, 3.715437459611669*^9}, {
+ 3.7154374993175573`*^9, 3.715437569866948*^9}},
+ ExpressionUUID -> "9bf870db-226c-48c2-a135-05ec91992349"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"A", "\[Rule]", "56234.03242838189`"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "\[Rule]", "992.6049653993435`"}], ",",
+ RowBox[{"xc", "\[Rule]",
+ RowBox[{"-", "0.4394359049352969`"}]}], ",",
+ RowBox[{"h0", "\[Rule]", "2.258457079470952`"}], ",",
+ RowBox[{"m0", "\[Rule]", "3.978090798822822`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "\[Rule]", "0.2000882691344959`"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "\[Rule]", "281.03412463213084`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "\[Rule]",
+ RowBox[{"-", "0.9328435874786831`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "\[Rule]", "1.1346015387295383`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], "\[Rule]",
+ RowBox[{"-", "0.768585612314353`"}]}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "\[Rule]", "3.129141070684999`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], "\[Rule]", "0.2446249954934091`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "6", "]"}], "\[Rule]",
+ RowBox[{"-", "0.027900470092658166`"}]}], ",",
+ RowBox[{"\[Lambda]", "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "7", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "8", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "9", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "10", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "11", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "12", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "13", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "14", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "3", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "4", "]"}], "\[Rule]", "0"}]}], "}"}]], "Output",
+ CellChangeTimes->{{3.715434508517209*^9, 3.715434522717032*^9}, {
+ 3.715434641909253*^9, 3.7154346690053453`*^9}, {3.715434732718875*^9,
+ 3.71543474266555*^9}, {3.715434874497327*^9, 3.7154349441848373`*^9}, {
+ 3.715434994206181*^9, 3.71543503126991*^9}, {3.7154350648871803`*^9,
+ 3.715435112617943*^9}, 3.7154353128301697`*^9, 3.715435371292686*^9, {
+ 3.715435929475428*^9, 3.715435967733891*^9}, 3.715436022773284*^9, {
+ 3.715436134775229*^9, 3.7154363268298492`*^9}, {3.715436536472657*^9,
+ 3.7154366816436872`*^9}, {3.7154368527870703`*^9, 3.715436900631548*^9},
+ 3.715437404374403*^9, {3.715437455040145*^9, 3.7154374631756477`*^9},
+ 3.7154375774643173`*^9}]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]f", "[",
+ RowBox[{"A", ",", "B", ",", "m0", ",", "h0", ",",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",",
+ RowBox[{"ff", "[",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",", "a", ",", "Nf"}], "]"}],
+ ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}], "[",
+ "\[Theta]", "]"}], "/.", "sol6"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ")"}]}], "-", ".1"}], "/.",
+ "sol6"}], ",",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}], "+", ".1"}], "/.", "sol6"}]}], "}"}],
+ ",",
+ RowBox[{"GridLines", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], "/.", "sol6"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ")"}]}], "/.", "sol6"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}]}], "}"}], ",",
+ "None"}], "}"}]}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7137866834085007`*^9, 3.713786686617977*^9}, {
+ 3.7137867217375593`*^9, 3.713786743847704*^9}, {3.7137868182565737`*^9,
+ 3.713786841939253*^9}, {3.713786924527413*^9, 3.713786974385107*^9}, {
+ 3.713787042137055*^9, 3.713787053211768*^9}, {3.7137870901431293`*^9,
+ 3.713787090608033*^9}, {3.713787341082642*^9, 3.713787364514805*^9}, {
+ 3.713787741825034*^9, 3.713787770799416*^9}, {3.713787886687026*^9,
+ 3.7137878869430323`*^9}, {3.7137888266494427`*^9, 3.713788842463161*^9}, {
+ 3.713792007167099*^9, 3.713792021787199*^9}, 3.7137922767568893`*^9, {
+ 3.7137944309219913`*^9, 3.713794435906933*^9}, {3.713796878199485*^9,
+ 3.713796887244006*^9}, {3.713796921081345*^9, 3.713796926722969*^9},
+ 3.7137971807617273`*^9, {3.713827438749836*^9, 3.7138274538037987`*^9}, {
+ 3.713827581712484*^9, 3.713827582113104*^9}, {3.714130909227974*^9,
+ 3.714130935160301*^9}, {3.7141311982159986`*^9, 3.714131202051044*^9}, {
+ 3.7141312471974087`*^9, 3.714131274688491*^9}, {3.714131759254038*^9,
+ 3.714131761606591*^9}, {3.714132524986391*^9, 3.7141325265937033`*^9}, {
+ 3.7141337230994473`*^9, 3.714133746090554*^9}, {3.7141354101671886`*^9,
+ 3.714135444491536*^9}, {3.7141356514052277`*^9, 3.7141357777377653`*^9}, {
+ 3.714136651714642*^9, 3.714136680207863*^9}, {3.714137789157419*^9,
+ 3.714137796981852*^9}, 3.7141379430983753`*^9, {3.714138196842355*^9,
+ 3.714138197292419*^9}, {3.714222654297492*^9, 3.7142226816813173`*^9}, {
+ 3.7142241379694967`*^9, 3.714224153092409*^9}, {3.714230783232278*^9,
+ 3.714230783558969*^9}, {3.714230818213155*^9, 3.714230826884192*^9}, {
+ 3.7142341440952263`*^9, 3.714234196383806*^9}, {3.714234229569173*^9,
+ 3.714234230040387*^9}, {3.714831591839006*^9, 3.7148315929177227`*^9}, {
+ 3.715434418590646*^9, 3.715434426546102*^9}, {3.715435478227995*^9,
+ 3.715435512599924*^9}, {3.715435553225832*^9, 3.715435560482403*^9}, {
+ 3.7154363327341967`*^9, 3.7154363451897*^9}, {3.715436686902709*^9,
+ 3.7154366961241283`*^9}, {3.715437422540103*^9, 3.715437424552966*^9}, {
+ 3.715437593595909*^9, 3.715437602834928*^9}},
+ ExpressionUUID -> "5d18036a-1593-48ad-9b4f-438b8c213ae3"],
+
+Cell[BoxData[
+ GraphicsBox[{{{}, {},
+ TagBox[
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6], Opacity[
+ 1.], LineBox[CompressedData["
+1:eJw12nk4Vd/XAPArkoSEipKhKKRCpSJdiVBCEYpkHoqMCZExmTIryfQrZYgy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+ "]]},
+ Annotation[#, "Charting`Private`Tag$38835#1"]& ]}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}},
+ GridLines->{{{1.6443998213362718`, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}, {-1.6443998213362718`, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}}, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 2, 2][#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 2, 2][#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-1.744399821336272, 1.744399821336272}, {-1.2165121247310253`,
+ 1.7685287298442294`}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.7137868428211517`*^9, {3.713786876436328*^9, 3.713786880892294*^9}, {
+ 3.713786911917448*^9, 3.713786975636983*^9}, {3.713787043580344*^9,
+ 3.713787054112487*^9}, {3.713787091884612*^9, 3.7137871303293543`*^9}, {
+ 3.7137873441971073`*^9, 3.713787366054718*^9}, {3.713787744869535*^9,
+ 3.713787772248114*^9}, {3.713787873953774*^9, 3.713787896994111*^9}, {
+ 3.7137880959645233`*^9, 3.7137881089961042`*^9}, 3.71378817266117*^9, {
+ 3.713788840185727*^9, 3.713788843629333*^9}, {3.713792008614105*^9,
+ 3.713792022973323*^9}, 3.713792277625108*^9, {3.7137944343878193`*^9,
+ 3.71379443703505*^9}, {3.713796880744809*^9, 3.713796888383353*^9}, {
+ 3.7137969255232973`*^9, 3.713796927819489*^9}, 3.71379718348458*^9, {
+ 3.713827434665268*^9, 3.713827443488235*^9}, 3.713827482758223*^9, {
+ 3.71382758304496*^9, 3.7138276201271763`*^9}, {3.714131200316176*^9,
+ 3.7141312045699244`*^9}, {3.714131254215077*^9, 3.714131277251986*^9},
+ 3.7141317655386057`*^9, {3.714132521860244*^9, 3.714132531422585*^9},
+ 3.714132594800725*^9, {3.714133724809444*^9, 3.714133751537928*^9}, {
+ 3.714135421393217*^9, 3.714135451507843*^9}, {3.71413567570129*^9,
+ 3.7141356998242083`*^9}, {3.714135733025814*^9, 3.7141357840691547`*^9},
+ 3.714136120052483*^9, {3.7141366600281467`*^9, 3.7141366864873533`*^9}, {
+ 3.7141377784504833`*^9, 3.7141377991028967`*^9}, 3.7141379539677057`*^9,
+ 3.714138050818244*^9, {3.714138200060054*^9, 3.7141382064545603`*^9},
+ 3.7142171461980963`*^9, 3.7142188447804413`*^9, 3.714222440250145*^9, {
+ 3.714222657733528*^9, 3.714222683268447*^9}, {3.714224133382696*^9,
+ 3.7142241549538307`*^9}, 3.714225354640583*^9, 3.714225714002556*^9,
+ 3.714227554313645*^9, 3.714227908653563*^9, 3.71422857576338*^9,
+ 3.714228696834524*^9, 3.71422909104809*^9, 3.714229141599442*^9,
+ 3.7142292486960983`*^9, {3.714230780456892*^9, 3.714230785679422*^9}, {
+ 3.7142308157519608`*^9, 3.714230828606016*^9}, 3.7142340780685377`*^9, {
+ 3.714234224600782*^9, 3.714234237139142*^9}, 3.714234307673902*^9,
+ 3.7142348074233837`*^9, 3.714235165607085*^9, 3.714237644331621*^9,
+ 3.71423838237761*^9, 3.714239395610619*^9, {3.7143082194063873`*^9,
+ 3.714308247142568*^9}, {3.714308319830029*^9, 3.7143083930961514`*^9}, {
+ 3.714308799382699*^9, 3.714308818140257*^9}, {3.7143090986415577`*^9,
+ 3.714309199096778*^9}, 3.714309603240282*^9, 3.7143097173721027`*^9,
+ 3.714310140061274*^9, 3.714310672380919*^9, 3.714310721292274*^9,
+ 3.71439357126875*^9, {3.7143937330438223`*^9, 3.714393762637719*^9},
+ 3.7143937939425077`*^9, 3.714400449835739*^9, 3.714739171437193*^9,
+ 3.7147392613657093`*^9, 3.714755299481934*^9, 3.7148067784238777`*^9,
+ 3.714807443165606*^9, 3.714808174359345*^9, 3.714808692060326*^9,
+ 3.7148090847780457`*^9, 3.71483049403199*^9, 3.7148315942188587`*^9,
+ 3.7148317908338337`*^9, 3.714832871422695*^9, 3.714833048025202*^9,
+ 3.7148350215333233`*^9, 3.7148350520243893`*^9, 3.714836047598082*^9, {
+ 3.714836304567871*^9, 3.714836327074108*^9}, 3.7148363910890007`*^9,
+ 3.7148374291199207`*^9, {3.714837724270095*^9, 3.714837750461904*^9},
+ 3.714839281594843*^9, 3.714839485997526*^9, {3.7148399217687073`*^9,
+ 3.714840006646434*^9}, 3.714840752854959*^9, 3.714862651360503*^9,
+ 3.7148627021396103`*^9, 3.714862740801112*^9, {3.714862969293866*^9,
+ 3.7148629824280033`*^9}, 3.714863054085867*^9, 3.71486317733552*^9,
+ 3.714865815026454*^9, 3.7148658759899273`*^9, 3.714865938320684*^9,
+ 3.714866099372448*^9, {3.714906902368848*^9, 3.714906964342436*^9},
+ 3.7149071068285093`*^9, 3.714992401471239*^9, 3.7149924647613163`*^9,
+ 3.71499272340639*^9, {3.714992783201962*^9, 3.714992810689834*^9},
+ 3.714992857604124*^9, 3.714998519298162*^9, 3.715000485307694*^9,
+ 3.7150072939574223`*^9, 3.715007338683172*^9, 3.715008679832819*^9,
+ 3.7150087425044003`*^9, 3.715093138103307*^9, 3.715097504769623*^9,
+ 3.715097672970394*^9, 3.715355281265408*^9, 3.715359544903166*^9,
+ 3.715359799972622*^9, 3.715368503925208*^9, 3.715369266042727*^9,
+ 3.7153696466716003`*^9, 3.715369680270297*^9, 3.7153697982211237`*^9,
+ 3.715369833127049*^9, 3.7153792367576237`*^9, 3.715379353669621*^9,
+ 3.7153794693369417`*^9, 3.7153799919059668`*^9, 3.7153800219739523`*^9,
+ 3.715380137520944*^9, 3.7153807680415697`*^9, 3.715380909939959*^9,
+ 3.715381048917873*^9, 3.7153820791572447`*^9, 3.715382202952688*^9,
+ 3.715382670493622*^9, 3.715383212766765*^9, 3.715410733790126*^9,
+ 3.715434432805786*^9, {3.715435480425231*^9, 3.7154355167695847`*^9}, {
+ 3.7154355580877438`*^9, 3.7154355646310577`*^9}, {3.715436349120124*^9,
+ 3.7154363562698383`*^9}, 3.71543670723903*^9, {3.715437416368321*^9,
+ 3.7154374314322033`*^9}, 3.715437472496801*^9, {3.715437596715735*^9,
+ 3.715437611810522*^9}},
+ ExpressionUUID -> "ed89f101-ab66-4076-8913-f03c34eda116"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"ff", "[",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",", "a", ",", "Nf"}], "]"}], "[",
+ "\[Theta]", "]"}], "/.", "sol3"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "0", ",", "2"}], "}"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.715438307904148*^9, 3.715438346067623*^9}, {
+ 3.7154539208370657`*^9, 3.715453922804799*^9}, {3.71545397944129*^9,
+ 3.715453983189878*^9}},ExpressionUUID->"cfa51037-b370-4790-b290-\
+4a8188d33c12"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{}, {},
+ TagBox[{
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6],
+ Opacity[1.], LineBox[CompressedData["
+1:eJwt1nk01dv7B3DTbeCGqIQiaSKkTKl4NxBCpsoQpdItRGW45WpSaVDolgZk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=
+
+ "]]}},
+ Annotation[#, "Charting`Private`Tag$203302#1"]& ]}}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{0, 2}, {-0.26938192817884515`, 1.4380593795431718`}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{{3.715438311342886*^9, 3.715438346575321*^9},
+ 3.7154539232195253`*^9, {3.715453979945158*^9,
+ 3.715453983473632*^9}},ExpressionUUID->"0bc3ade1-3661-4260-b494-\
+2af29419b10c"]
+}, Open ]],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"func", "=",
+ RowBox[{"Sort", "@",
+ RowBox[{"(",
+ RowBox[{"Reverse", "/@",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{"h0", " ",
+ RowBox[{
+ RowBox[{
+ RowBox[{"ff", "[",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",", "a", ",", "Nf"}],
+ "]"}], "[", "\[Theta]", "]"}], "/",
+ SuperscriptBox[
+ RowBox[{"Abs", "[",
+ RowBox[{"1", "-",
+ SuperscriptBox["\[Theta]", "2"]}], "]"}],
+ "\[CapitalDelta]"]}]}], "/.", "sol1"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "1.0001", ",",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}], "-", "0.0001"}], "/.", "sol1"}],
+ ",", "0.0001"}], "}"}]}], "]"}]}], ")"}]}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.715438683586855*^9, 3.715438727752768*^9}, {
+ 3.715453987502211*^9, 3.715453991437995*^9}, {3.715454521088793*^9,
+ 3.7154545509749002`*^9}},ExpressionUUID->"e4d23d9f-2f0d-4b59-b3b1-\
+2ef3bed0248b"],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"ffunc", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[", "1", "]"}], "]"}], ",",
+ RowBox[{
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "2"], "-", "1"}], ")"}],
+ RowBox[{"-", "2"}]],
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]f", "[",
+ RowBox[{"A", ",", "B", ",", "m0", ",", "h0", ",",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",",
+ RowBox[{"ff", "[",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",", "a", ",", "Nf"}],
+ "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}], "[",
+
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "]"}]}], "/.", "sol1"}]}], "}"}],
+ "&"}], "/@", "func"}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.7154395411410637`*^9, 3.715439547951919*^9}, {
+ 3.715453996478359*^9, 3.7154539965663013`*^9}, 3.715454289651366*^9, {
+ 3.7154543484356937`*^9, 3.715454348707485*^9}, {3.7154545257426*^9,
+ 3.715454554688348*^9}},ExpressionUUID->"b7d78db0-7812-4aff-b1c2-\
+716a068eae4d"],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"ListPlot", "[", "ffunc", "]"}]], "Input",
+ CellChangeTimes->{{3.715439515955686*^9, 3.715439518298728*^9},
+ 3.7154395767585793`*^9, {3.7154397009375677`*^9, 3.715439741137589*^9}, {
+ 3.715454531606987*^9,
+ 3.715454531934433*^9}},ExpressionUUID->"3be7b930-9672-473b-90b3-\
+afb8f63bbf92"],
+
+Cell[BoxData[
+ GraphicsBox[{{}, {{{}, {
+ {RGBColor[0.368417, 0.506779, 0.709798], PointSize[
+ 0.002777777777777778], AbsoluteThickness[1.6], PointBox[CompressedData["
+1:eJwUV3k8lO8XVVGWbC1SZIlQkRbZvnTJGpJkz77v+76OGdtgxgzGlqUoSbKG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+ "]]}}, {}}}, {}, {}, {{}, {}}, {{}, {}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ ImageSize->{629., Automatic},
+ Method->{"CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{0, 8.345402480450558}, {-11.076541334459662`, 0}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.02]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.715439518932337*^9, 3.715439646827957*^9, {3.715439716853395*^9,
+ 3.7154397423686123`*^9}, 3.71545401031738*^9, 3.7154543036807137`*^9,
+ 3.715454362481533*^9, {3.715454536567932*^9, 3.715454536662516*^9},
+ 3.715454572535467*^9},ExpressionUUID->"cbd98815-2745-4c58-9dc4-\
+60d81ba7b307"]
+}, Open ]],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"dFfunc", "=",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"ffunc", "[",
+ RowBox[{"[",
+ RowBox[{
+ RowBox[{"i", "+", "1"}], ",", "1"}], "]"}], "]"}], "+",
+ RowBox[{"ffunc", "[",
+ RowBox[{"[",
+ RowBox[{"i", ",", "1"}], "]"}], "]"}]}], ")"}], "/", "2"}], ",",
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"ffunc", "[",
+ RowBox[{"[",
+ RowBox[{
+ RowBox[{"i", "+", "1"}], ",", "2"}], "]"}], "]"}], "-",
+ RowBox[{"ffunc", "[",
+ RowBox[{"[",
+ RowBox[{"i", ",", "2"}], "]"}], "]"}]}], ")"}]}], "/",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"ffunc", "[",
+ RowBox[{"[",
+ RowBox[{
+ RowBox[{"i", "+", "1"}], ",", "1"}], "]"}], "]"}], "-",
+ RowBox[{"ffunc", "[",
+ RowBox[{"[",
+ RowBox[{"i", ",", "1"}], "]"}], "]"}]}], ")"}]}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"i", ",", "1", ",",
+ RowBox[{
+ RowBox[{"Length", "[", "ffunc", "]"}], "-", "1"}]}], "}"}]}], "]"}]}],
+ ";"}]], "Input",
+ CellChangeTimes->{{3.7154540230479097`*^9, 3.715454174817038*^9},
+ 3.71545421100978*^9},ExpressionUUID->"d3e9d1eb-1471-47fc-887d-\
+38adc1a1a5f3"],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"ListPlot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"Log", "[",
+ RowBox[{"#", "[",
+ RowBox[{"[", "1", "]"}], "]"}], "]"}], ",",
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}]}], "}"}], "&"}], "/@", "#"}], "&"}], "/@",
+ RowBox[{"{",
+ RowBox[{"mag", ",", "dFfunc"}], "}"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.715454149012734*^9, 3.715454151472427*^9}, {
+ 3.7154543695320873`*^9,
+ 3.715454490613882*^9}},ExpressionUUID->"b57a1a48-205a-4090-94ca-\
+37f7dd7558de"],
+
+Cell[BoxData[
+ GraphicsBox[{{}, {{{}, {
+ {RGBColor[0.368417, 0.506779, 0.709798], PointSize[
+ 0.002777777777777778], AbsoluteThickness[1.6], PointBox[CompressedData["
+1:eJwVl3k4VW0bxc3zdIyJpEyRISUp1b1JhESGUBESKQoZEkrKEEqEKKVQiqJQ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+ "]]}}, {
+ {RGBColor[0.880722, 0.611041, 0.142051], PointSize[
+ 0.002777777777777778], AbsoluteThickness[1.6], PointBox[CompressedData["
+1:eJwU2nc8lu0XAHBkVfTsx94qpEgihZuSUMrITkuEhnqTiIRIopKRjCgrI1uU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+ "]]}}, {}}}, {}, {}, {{}, {}}, {{}, {}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 1.1859867286863919`},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{"CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-10.384532700448133`, 7.89434031672967}, {1.2034722885025622`,
+ 1.5531834848259687`}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{{3.715454156315999*^9, 3.715454176639435*^9},
+ 3.715454212634098*^9, 3.715454303860114*^9, {3.715454362655149*^9,
+ 3.715454490876639*^9}, 3.715454538881398*^9,
+ 3.715454572687121*^9},ExpressionUUID->"138efb6f-939e-427a-8d4b-\
+8cb6aab07fc7"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Show", "[",
+ RowBox[{
+ RowBox[{"LogLogPlot", "[",
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ",", "B", ",",
+ "\[ScriptCapitalM]0"}], "]"}], "[", "X", "]"}]}], ",",
+ RowBox[{"{",
+ RowBox[{"X", ",", "0.001", ",", "1000"}], "}"}], ",",
+ RowBox[{"PlotStyle", "\[Rule]", "Red"}]}], "]"}], ",",
+ RowBox[{"ListLogLogPlot", "[",
+ RowBox[{"ffunc", ",",
+ RowBox[{"Joined", "\[Rule]", "True"}], ",",
+ RowBox[{"PlotStyle", "\[Rule]", "Green"}]}], "]"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7142246940999937`*^9, 3.714224710004931*^9}, {
+ 3.714224744516198*^9, 3.714224747961575*^9}, {3.714228221815777*^9,
+ 3.714228223946136*^9}, {3.7142301107700567`*^9, 3.714230113937529*^9}, {
+ 3.714231178048731*^9, 3.714231307094479*^9}, {3.71423525344033*^9,
+ 3.714235256372561*^9}, {3.714235546552792*^9, 3.714235548823525*^9}, {
+ 3.714807568353258*^9, 3.714807568561453*^9}, {3.7148331605531673`*^9,
+ 3.7148331610481462`*^9}, {3.714993392582136*^9, 3.714993397619061*^9}, {
+ 3.715411038198708*^9, 3.715411063798061*^9}, {3.715411117095385*^9,
+ 3.715411117619063*^9}, {3.71543881057487*^9, 3.7154388468361063`*^9}, {
+ 3.7154389384464293`*^9, 3.715438940228682*^9}, {3.715439093867465*^9,
+ 3.71543910931717*^9}, {3.715439161177362*^9, 3.715439161412911*^9}, {
+ 3.715439265695195*^9, 3.715439291059997*^9}, {3.7154395687358303`*^9,
+ 3.7154395728509607`*^9}},ExpressionUUID->"4e74b80b-977c-430d-ab65-\
+c2516c21c4d2"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{}, {},
+ TagBox[
+ {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.],
+ LineBox[CompressedData["
+1:eJwB4QQe+yFib1JlAgAAAE0AAAACAAAA9CWkhoqhG8DXZ1Ho4tMawKZMH8Yz
+nRvALMjSJIzPGsBYc5oF3ZgbwKfsUGE1yxrAvcCQhC+QG8ADdEPah8IawIZb
+fYLUfhvA+RgBzCyxGsAZkVZ+HlwbwJqU2652jhrAPvwIdrIWG8A1R/NxCkka
+wIfSbWXaixrAiHLP7DG+GcAlZ6+3zl4ZwCqP/QQlkRjAdwrwLrZFGMCM8Mv2
+CngXwEVarTohMhfAAy6eDXRkFsAekuK5MAcWwAlVRb2AORXAq9gWXjPwFMCg
+pavnfyIUwEQHw3XawRPAdVxY/iH0EsBY4ushBZkSwKZelxZGyxHAIMwT8yKE
+EcDwR5HLW7YQwPSdszflVxDAk/550iQUD8D5/KRCNX8OwHeZhc9y4wzAARfc
+PqdZDMClcr7Jv70KwCABAyJiBQrAVtA7pUVpCMCnCChPA9kHwI6M3XilPAbA
+ReA8Y+19BcDXvlrWMeEDwNsQS6DeLQPAqPV5i6iQAcDZXlcntgUBwEuJU//T
+z/6/2/mmKq1d/b/TqcEVaSD6v9Rwm5q6//i/OSZb1GrA9b/7h2/YWUT0v1XD
+eGYiAvG/IqJs0A4+778geg3gH7Lov+6p8hcDk+a/Ay9OkhH8378p5G/2NVra
+v5DMa+IDLsq/bb/WGTCbwb/4Cj1W/E6yP/65nCmZzcA/LaYtrTLt1T//mAn/
+6RDbPx1FlPPNZOQ/3/SpjOo95j/8xWzeTTftP+TcD348ru8/urvvmw9n8z+F
+MMjlOHn0P5kBxa4RIPg/yDeM+IbL+D+HaDDTqov8P92ecD1De/0/tesI+bSt
+AECRZSx3me0AQEkfHsjZ7gJAvCKnJooSA0C6SzX+viYFQNAPMu8xZgVAnw+k
+kk2RB0B8375t85EHQCAflvyF1AlAEd9bBWzsCUAIhNmYjkoMQD7B+lL+HgxA
+rcI9L6yYDkB0SqB3iUYOQKD8cP1abhBAygGr2mVOEED4UOvUpqkRQKbPhtST
+ZRFA2SMjRXnQEkB3tepaHZQSQAm7eopwEBRAzO7RTCO9E0CX9aoW8EoVQG0Z
+uhk20hRA5guZfYpwFkACXCpzpP4VQPak9R8NrxdA44+bpx8XF0AHbrvKe9gY
+QEgXkEcXKhhAJqJ0zRP8GUCitgx0alQZQNUqm2FlOBtASEeKe8pqGkDf3x8o
+el8cQMOutnulbxpATlK9g59kHEA+FuN7gHQaQBLTDd3EaRxAM+U7fDZ+GkCE
++r2ID3QcQB6D7XyikRpAxVAVxKSIHEDzvlB+ergaQGolfMjOsRxAnjYXgSoG
+G0C5YJH3IAQdQBmeQ4EFCxtANfrmBEYJHUCUBXCB4A8bQH5dpA9rDh1AidTI
+gZYZG0Dxv00dtRgdQHRyeoICLRtAxDAeGUktHUBJrt2D2lMbQLt815BwVh1A
+xBUKhLVYG0DL+qdzlVsdQD59NoSQXRtAUlG+U7pgHUA0TI+ERmcbQLddtAsE
+ax1AHupAhbJ6G0BtTo1al38dQJlRbYWNfxtAjdpTJ7yEHUAUuZmFaIQbQL4m
+UPHgiR1ACYjyhR6OG0BuHuJ8KpQdQITvHob5khtAIt9zPk+ZHUD+VkuG1Jcb
+QEmKM/1znh1Aeb53hq+cG0AcMB+5mKMdQPQlpIaKoRtADeM0cr2oHUCZyDUw
+
+ "]]},
+ Annotation[#,
+ "Charting`Private`Tag$40824#1"]& ]}, {}, {}}, {{}, {{}, {},
+ {RGBColor[0, 1, 0], PointSize[0.003666666666666667], AbsoluteThickness[
+ 1.6], LineBox[CompressedData["
+1:eJwUl3k81F8Xx0UiayGUbKXsJYoiHaUSIiTtkaVUfm1KZKlQSlQiZU1Idlmz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+ "]]}}, {}, {}, {{}, {}}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{-6.907755278982137, -6.706920270889859},
+ CoordinatesToolOptions:>{"DisplayFunction" -> ({
+ Exp[
+ Part[#, 1]],
+ Exp[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ Exp[
+ Part[#, 1]],
+ Exp[
+ Part[#, 2]]}& )},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledFrameTicks[{Log, Exp}]}, {
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledFrameTicks[{Log, Exp}]}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Part[{{Log, Exp}, {Log, Exp}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Log, Exp}, {Log, Exp}}, 2, 2][#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Part[{{Log, Exp}, {Log, Exp}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Log, Exp}, {Log, Exp}}, 2, 2][#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->NCache[{{-6.907755278982137,
+ Log[1000]}, {-6.706920270889859,
+ 7.414785179594003}}, {{-6.907755278982137,
+ 6.907755278982137}, {-6.706920270889859, 7.414785179594003}}],
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->FrontEndValueCache[{
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledTicks[{Log, Exp}]}, {{{-6.907755278982137,
+ FormBox["0.001`", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.605170185988091,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.010\"", 0.01, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.3025850929940455`,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.100\"", 0.1, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {0.,
+ FormBox["1", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.302585092994046,
+ FormBox["10", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.605170185988092,
+ FormBox["100", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.907755278982137,
+ FormBox["1000", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.210340371976182,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-8.517193191416238,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-8.111728083308073,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.824046010856292,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.600902459542082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.418580902748128,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.264430222920869,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.1308988302963465`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.013115794639964,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.809142990314028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.521460917862246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.298317366548036,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.115995809754082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.961845129926823,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.8283137373023015`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.710530701645918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.506557897319982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.2188758248682006`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.8134107167600364`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.659260036932778,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.5257286443082556`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.4079456086518722`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.2039728043259361`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.916290731874155,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.5108256237659907,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.35667494393873245`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.2231435513142097,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.10536051565782628`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.0986122886681098`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.3862943611198906`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.791759469228055,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.9459101490553132`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.0794415416798357`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.1972245773362196`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {3.4011973816621555`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {3.6888794541139363`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.0943445622221,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.248495242049359,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.382026634673881,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.499809670330265,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {5.298317366548036,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {5.703782474656201,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {5.991464547107982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.396929655216146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.551080335043404,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.684611727667927,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.802394763324311,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {7.600902459542082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.006367567650246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.294049640102028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.517193191416238,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.699514748210191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.85366542803745,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.987196820661973,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.104979856318357,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.210340371976184,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}}, {{-6.907755278982137,
+ FormBox["0.001`", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.605170185988091,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.010\"", 0.01, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.3025850929940455`,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.100\"", 0.1, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {0.,
+ FormBox["1", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.302585092994046,
+ FormBox["10", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.605170185988092,
+ FormBox["100", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.907755278982137,
+ FormBox["1000", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.210340371976182,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-8.517193191416238,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-8.111728083308073,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.824046010856292,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.600902459542082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.418580902748128,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.264430222920869,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.1308988302963465`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.013115794639964,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.809142990314028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.521460917862246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.298317366548036,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.115995809754082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.961845129926823,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.8283137373023015`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.710530701645918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.506557897319982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.2188758248682006`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.8134107167600364`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.659260036932778,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.5257286443082556`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.4079456086518722`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.2039728043259361`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.916290731874155,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.5108256237659907,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.35667494393873245`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.2231435513142097,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.10536051565782628`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.0986122886681098`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.3862943611198906`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.791759469228055,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.9459101490553132`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.0794415416798357`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.1972245773362196`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {3.4011973816621555`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {3.6888794541139363`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.0943445622221,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.248495242049359,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.382026634673881,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.499809670330265,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {5.298317366548036,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {5.703782474656201,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {5.991464547107982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.396929655216146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.551080335043404,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.684611727667927,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.802394763324311,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {7.600902459542082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.006367567650246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.294049640102028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.517193191416238,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.699514748210191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.85366542803745,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.987196820661973,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.104979856318357,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.210340371976184,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.903487552536127,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {10.308952660644293`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}}}]]], "Output",
+ CellChangeTimes->{{3.714231218528014*^9, 3.714231255059382*^9}, {
+ 3.7142313035157547`*^9, 3.714231308612544*^9}, 3.714231351814006*^9,
+ 3.714235201839225*^9, 3.714235263324795*^9, 3.7142355579614763`*^9,
+ 3.7142361528419724`*^9, 3.714236603635973*^9, 3.71423707986604*^9,
+ 3.7142376665939217`*^9, 3.714237904669828*^9, 3.714238469686336*^9,
+ 3.714239486913962*^9, 3.7143096688288794`*^9, 3.714309882196475*^9,
+ 3.7143102980925283`*^9, 3.7143103387980967`*^9, 3.714310788182613*^9, {
+ 3.7148075632639427`*^9, 3.714807570439906*^9}, 3.714807654527153*^9,
+ 3.714808257639398*^9, 3.714808797213571*^9, 3.714809145326331*^9,
+ 3.714830887652412*^9, {3.7148331530408792`*^9, 3.714833162206613*^9},
+ 3.714835185025297*^9, 3.7148363566927433`*^9, 3.7148365448388443`*^9,
+ 3.7148372620837307`*^9, 3.714837316260206*^9, {3.7148375560333223`*^9,
+ 3.714837566324032*^9}, 3.7148377355398607`*^9, 3.714837769146194*^9,
+ 3.714837997802803*^9, 3.714839546849403*^9, 3.714839598429822*^9,
+ 3.714839641354657*^9, 3.714840047372992*^9, 3.714840761409927*^9, {
+ 3.71499340791967*^9, 3.714993419710197*^9}, 3.7153818721594963`*^9,
+ 3.71538193374149*^9, 3.715410887462751*^9, {3.715411027935316*^9,
+ 3.7154110734047403`*^9}, 3.715411127912764*^9, 3.71543891824503*^9,
+ 3.715439010386574*^9, 3.715439167484612*^9, 3.715439235116932*^9,
+ 3.715439294612912*^9, 3.715439360502081*^9},
+ ExpressionUUID ->
+ "296c598d-1cb6-4534-b798-11e3bd7059e4",ImageCache->GraphicsData[\
+"CompressedBitmap", "\<\
+eJzNXAmYFsW17WGGYRVQAWWRfRNRRER8BNGnAZTtYQxRCVERJUAwyCaLKCAg
+LqhoMGoMLoAgyqJEQCIBQQhLIkF9hLCoTEB4iLI8EAVk7run+97q/nuq/mWY
+4Xvez2Gmp+vUqVtVd6v6p2vvYf3uva/3sP59etfpOKT3/f369xlap8OgIfwo
+O8vzsvp5nvdZHQ/fE38rX/z/+uOL/CDfd/T/yaE1a9bQAw88QIMHD6bly5eX
+8B+Xor59+9KRI0f8FrFXuBHeKU2jRo0y71jeq5msh+xoD16J9BBL2BGbCGKk
+tdc8PcSmZ9Rx/TNqnfSx18H/J5f2799Pw4cPpz179tC+fftoxIgRtHv37ux0
+fl0mgZnjVa96cqhc/9cV04FydtHYOUPpUby4CDjU8zGqnAmGEzsrcc5WrlxJ
+s2fPNuth3rx59P7772en8+uSCQTlVU83jbzq/1QtOVxuSrgcAyfPbb00ck5d
++kybFA2VuoWFsT/OwtMsPJbpqyV9wjLJf15DUfLChQtp6dKlRskrVqyguXPn
+Zqfz60TG8qpRlLyaMKUOONuUJsLlGDh5busl3SlNxtQ2pYWgYpvStGDsj7Pw
+NAuPo1Pqz2h0IpcsWZKg2jlz5mSn8+uCPPnVBPXwqwUm0gLnmsgQLsfAyXNb
+L5lMpIupayIzpOKayJQw9scykfw4jb2JTT1r1iyj5Pnz54f2tILr1/73M2fO
+pO3btxcwKfy+jjLyeoLJtUAGgVGpZKhGGQqbpLcG8moM7rK0e0mEa1S07Gqf
+KZz9cRaeFrDKCVv466+/pmHDhtFXX31lvDA/C+b7PNevfWJffvkljR8/nvr3
+72/IOt6vnry3YK7LpotYAg95f1iQZB3nxKGCeS6XCWcJtIqEVZ3CQOVk0kOS
+rd1IVILYGE0RG/P3Je2PswXh+++/p7Fjx4KmWXqxV2vaH+emj+B43NiC0Dx9
+1IvPmEC9M0ZI+jiyD8dJTx+y9GXZQ3u8Ne651Nd/z8JJKX1Knya8noDpeikH
+L41N8VL6HV8kv+JIPmiyfr033v36BQEiv9kVX4JlKN6gXLRP873/7113EZVg
+9ZUtSzRxItHx47yfCvLMtTzLsTwLJqJkQn/Sl6fxi4NLNOlWuHOKiUq0hBD7
+XeydzPjonGRb3tP4zM0nJ64ax+MKFvRSzh5tWrE9c/TunK+KZ8wi2TJxsMlO
+mCIbhaJbqWlRKO8/k71dOmyxfj3R1VeTX9Gqxb995RWi06cvtRC5wvKsqjyL
+7vNqlvdapIlne1Y2yrxMoh7y84mQroM5RtCiBQImr9n/V/q2qc2FMQuMWvny
+wTBuvplox46RFnZJTHSOou3dS3T33YG5zOWfhw4lOnTogRRoPliuYmzaRHTd
+dQGbypWJnn+e6NSpYZkxKu1/ZQu/YAFRgwYBWtOmxDmmNzQGtZE2JoMqo8RO
+nCCaMoWoUqUA7sYbibZsGRxD+4g+SoZWTtEOHCAaMIBdYk7wP74/dGhQZmjn
+KNqWLQGfRKXdlwItOzRSJaGaQEURVQ0UgJksadCppHROnQoogEqoqgHS5A2W
+NNDOU7RDh4KVhBWlqjpwoF9maJUVbccOoh49OEXJCqYSU3riRN8UaD5YVVXV
+2rXBhsfYsLoWLPDuzYzOBUrn9Gmi6dOJqlUL4GAR163rkxmaZrR09CjRgw8S
+lSkTDPD224ny8nqnM7gaipGXF7RDe+AA7+jROzNjdJGirVsXWnmMccYM2M5f
+pcOolmLEbW2go16ZMaqjaFGTF+qoZzqM6ilG1NCFOrrNjeH50WaBQ5giPKix
+efAGyjdqVDELWHGnT/8iCd+zcWaRbRTbSHdW1F5fcolvhHokZXl2qvS2mLCx
+KtfuGG6J0V5NqyO0z04luoRR8MVKNu53ePGwgb05RvY9ei9Gtvirrf4zP6Js
+qmTtbu2/kpI9e3VE26K4VAZt86bdkvI+O/UwW6pxmarb7ra7JKEdWrVIqcE7
+8wKPzZhdrjTt8UAnaTKXJUbT5g6uUDTEA4h6MeAwHrhRmjBKOmhX6rTzaolH
+Bh0zg2qlxBAZvP566PVgvzdtap8ZWmtFi3o9eC14r717b8gM7T8UjReViRGA
+GNRF/lOaLGYB2nyaX9CH/kQxopEBxggrnZ9/rWCwlfcxeNUlY9RW0ewxQrvM
+0NrZtBXGCG0zQ7tO0ezRQpvM0K5XNLsvvzqGNotmFdT9T3WZRt2sZK6tBWAT
+CwA4aUhGp73SiXu/IINsJU04T0sHraOiRd1TmEG2lCZbWIA2laYmQ7tJ0ez+
+Q3PqPBagTabJBVXVWVVlMeSXpwCI0emidOz29bIY2kSamAytm6LZzaAWIA6z
+AG0kjSw4uO6KYTd+TWMYg2lwMkY/U1VZjJ+piLMAqj/1L0jnFqUTT4YCk6c3
+g7JYUKnGTx+56fRQtGgyFJq8RtKkIktP6lkAzQe7VTGiyVBo6BoIxrksXahL
+FCNpoF+U96VsLvJ2pW23rXq4UIvlJropgXax3hwKA/2eytBur+sKw0tZ2lLb
+GMPivSNjC+d6KV04hIceiifWtfHrEtSSWqaM7G0kC3HVIozo71BqrGuLd9Gz
+kRtY6lP9mCaL90ZDGMnfqSTtTquGNO7GUo2qWaa7+M/nbdN+t+jB5iery/uw
+HeWpfIxz8Z8z2yL4PqpmuzO+UJrcxwIj/AP9YDFX0Qg+V1oU/hjSZp7uVZp2
+L6818TEsoIkTySR2/teKZvfylaUJxwo+GscOydD665QvWlSgYny+vD+DBVAf
+0ofJoAa4ZiPw9+dKk2UsGiUnQRtoU1ro7/WA5+8sGmglQfutTWmh59fTu70s
+QHuIHiroHO9XVUVDo4YNfVWVF4DTLDksval3MjqDlY49NConTeqw/JSC6HW1
+G22ootlDozLS5CcsjalxKrThimYPkvQgrxdLaRYecTK0Eao0S5Cku20sC7TO
+kWAClI80UunYgyTdb3NYgPEBfZCMzmhFswdJahQ/ZgHaNJqWDG2M/7WMK1zy
+oz+shnvonmQwD/tfSwXhS8uWFA1fLmZpRa0K6mWsNorppeeyylTqdEnf2K1y
+9zk+pojnhpQMToXGdMRwPnS3nCAt/7bvT36LZwZLwXzCBAx6RZxo8H5pOsVS
+gaXbwWtsQdpyd48TBQEhJ8LXU/knbUHUB/Geg3ZlMYtqvwLEeJrbsycmf1kq
+Btn0BQvC8SE0xNMyYEKaG07+0jibSYLRggWRaD7lhyeI0Tgh1MniAEP9WPSm
+pM0dag+PsWjFWEMbevvtuL6891LAJ9DezZLN0of6yGG+s16wKB3cR+VnBOSI
+JzjpkuNLZ+XgnczUoT1okedJelLOhp2B2YIUPeSEGsnxbT3saU2WE3SinGra
+EjzND3CrSstYCGeLGSbLs+ksIP8CvSBORhzs44/HT6/fTuwkFnO5O8kBez9Y
+vojlOB03x6vxc23OwngagohcjvISYyVbJ4/JszdZMJJH6BG5nuGchjmRvWNF
+y8HuoatZyrHsol2VosqP3U2Y7UZ7XJ7B3mNxd6bO3rm2xa1a3rVrlhvtCXk2
+lAUjfZVeDU9z7Ut6Riq0HEwINWU5h2U7bT8/uepecwM+KYCodMErya6rbFu3
+rVv7FuLVCJoPNkVwe7BgiLfRbV6V6LIsaA2muxk9Jc/uZAEaGy5ZvhaFPfII
+puTlVGjBar6GpQTLLJpljpyhsDZt4jb2JTfg0wJ4gAUbPZdlMS02gLZcfuPG
+F92AzwggbGltlrIsHLBcGF9xiUHJ7+OTMFVgdrDA+JRhWUpLzWm4PRqZ5ub1
+bAQQvDDQuTS3uq4MxCWtWgWDrF3bj91+50Z7TtBQ2IPaMA9P09N6JdkRyD3r
+Bvyd8PiGpTWL1OboR/rRfP7Lj2M4VfTtFHQXxL7PxHU3TbC+Y7mZBVhwQQfp
+oGeuAtgi35Mnn3IzfF5Q4RJGswAVM/MOvePVVC1aKqpT3JAviBYR2JYQeZ1e
+r6kckTlMnRomWZ07g/cT8fG+KL0vZMHUghm7cFgVz2DZ8tKjRx9zk3tJUI+y
+IBEAKiJVtqPhFYh//MNmoB6NM3xZsF5hgY3D4nucBYnFRao72Ka6dQOsq67y
+bdMkN70/SjOs5zYsoAeLsJN2hvSw2dg9mtJWr17YbBPi9KbL+whfH2UBPTid
+Z1iYooGLb7pJk2AQgzBbvECh7jK8KmPZzHIFC8aCEuFW2ipjZ/WsXp2YXL37
+rtwflp4zvZfwWmTQE1mQ6JVi4bgXc24GrVu5atWg727dsAgfjnad4WUDv/sZ
+0pLH6I8VYwaFFbTCM7djosku/h85Eot2jLvvlDcGYpqfKT1hU2ORY9bB5C6W
+/bTfEImWFLDOcXiZnz86OZHUtwFmCf7/sPyKBXlHJZbnWZiSmXsGM24bHmjD
+Bm9U0HcQFGX+WRubMuZIdytZLmGBIrAc/0p/Dafkhx9sh60jomwy+0SILax/
+M7I2p7DAZkA30NFe2mvIoD6sG7JiRS1fBNUNT4tRhTyTf0s62cdyBwv6Rzg1
+mYUTbzM3jGT2ZePGvskPbnRazdY8acY6Rc7v6xhh+QJaEOoYW+4PfwgrRl27
+YssNcaPOjyxjjkj9gjOQq7JwTJ6wnwYNCpxAqVIaoN7vBl4oLeEEfskCUCgC
+7sVMRMlgfyTmtt4gN+q7grqR5VYWVe0EFnZaBvWttxKvCHzyiXefG/VPgrqO
+BWkDuNZggdOJWnE4hTFjAqcARQwcCMX8JgLs4y4WFrNZUKQDHPJ63h3hTH3+
+OVH37mTqs4H/6+8muURaIoDlZNXf7UDmrARlXDN0BBKoO2qgzju+nxv1fUH9
+luV+Fjgw5Do4UuRpMkNH9D55crh5+/RBYNDXDfxnaQkvewsLqMJGPszCoZoB
+1o2o0dS0aYhe7nEDL5eW2AfqvhH+wPvwrjdBAUqKzZoFwM2bE61aJScCkZla
+IS9z1um7EGAhKEVkZhgilnr22YCdJi579/Z2M1wpLWGDYI+rsAAZJVZeYgaY
+HW1CaeiJJ6DnO93Aq6Ql9tSDLAjtAYx8i/Vsho6I/Morg6E3aUK0bJl3hxv1
+I0FFefteFkx/SZbfsHByY+JA2JaXXgptC2LgvLxecZ2ulfdxLQFrEwRhhREp
+8BY1cN98Q9SvXzyD6+nmuU5awslcxaK7FEUQDvZNJP3xx2EFEa5v0SI5Arai
+bpBm2Kwwp0CFC1tCS8IQGLkcNmiVKgFsly5EO3feGh/6Rnn/JAsiwMoswLuO
+ZS2tNXAwprAdsCEYOrsYHnoPN8m/S8tDLMNYdOKbsHAiZYaOoLpt24AjAmKO
+9H4eJ7lJsHAJpR4LTCh2PeoSjF8jOmbcS9ZErFMnuJKfuUlulpaoILRnAUFo
+4CkW1ogBPnyYaMiQRFdy/Hj3OM9PZVCcu1IzFsA1YGGvFGZNce8xb54XXISU
+clhR3iP4TAYAV/lHFnWV8BiraJXhhFitZs2AU/v2RP/8p9c1yqmobg1sET5I
+VuH/4AfBB4krWwOj72juG9bpOkcpFc01AZ/VVukWgWk/FtSSYE1wzMrWpIZq
+ads2og4dAi1BW3Pnep3clAp7KSA2hduEXNQ2wY8iUuTIzJDjkZnw4YYb/Cm8
+MTm5Ql8G2C6UPmBBkABKdVmQ2edTvqlgHDtG3Gl8FjsErILCdbGe/u+MrP7X
+WFDA0NW/htYkm9f2UZLFdtz/hRBERTS6HeAcObW3zu3118OEeMGFT08PVov2
+fH+X8IJ7RVQF94psGXacN0nCBI8eHU4w732e4OD2qNXe/ltawpB3YNFAaCAL
+hx6mPsfrgTp2DAZcowbsk1zCtKLukWaw2upmEBIhzTA65LWSEF6zDtu5Ib8S
+ooiH8KkrdYu4hcPkjQYQXsMZwCnAOcBJHDnS1g28T1rCLcJ9IYSDBhDFRFWL
+ih3cl+bgvEvYvbVxA++XljjR+zmLxjAo8fD6Mqp9l/ORevUC4GuuIdq8WW4D
+R/zYAXl5NcuVLMBCrY03uJcw9HHjwswCqdahQ63dDL+RljjpwHaszqIxJidH
+BviLL4IkMHHordzAB6XlMRYcvaMKDZ0ikd1Nu83QcSOkTp0A+NpriT77TO57
+W1EPC+q/WVAt0bwNgTf7L1Oc1gxL7yhgCRw9eoUb+H+l5XoWTd1wfopwjnVT
+TenCLMEZqx7YvbWIz9TRiE7RHjhq4jbQBjP06GGprP7mbobfCSrsEoaLYUOh
+KBSxTTBDj+5/DD0os17qBv4+plNQBfgkFu7MDB37P1qB4qE3c6OekGZIr1Sh
+WK7zaJ5nNICCK0r9EQ00jWvzZESbuCOEUF2DYQ4RC0w5dn1JxmZryuNu4mb4
+o7TULAi7Hkb11ywcYZhxY9fruGH33nxTPp1kRc0XVGx5zVcRGiCOZ8NlUKOm
+D6HB1q3yR04iQ/cRs6TFCpbLWQCIkzqOZ8OPJn73XaJXR5ny2LH6bpr+V/2g
+Mmc99CKLGtSuLNtpu+H6r3+Fi16i43pWrnrT4CDLb1kQtCEnGM5ymA4bQJRV
+NLSFS9mxQz5B6OaaK9AIudRLXcDyAgvr1ZxuIUqFy8MSwFLAkjguBZ04Xf0g
+M44tkBJobeEv9BfPcI2nBgsWSEovtx6K+G8b2ly//1U/Ko26Dc6SoFvsfxxr
+mv1fMjgrrF494Itlu327+FvhWzx/3i9MJ3xY/SQ2ghW107iWgyMXHkDCbGGj
+6rqF4Tp2rFqUb5H9MTtnquEDnyOdcQxqTkAasiB/T1gLiPUiG+FCN9cz+Ctt
+aeQg/tcKokjNJXUHd2Lh3M0QB45uOETV27bJEbiTeCH/KpkzP/GB9UYGAq1B
+LGofRrKwGbZuYiwLmLZjx4I7BN4ZX1EudO7if9W7Fv/NouUJpPCzWNhHXRhd
+K7GSwvnRARTnn42y5TX+V72GwV7YHNTi7IsdabjK33gjrNZgsbB1Dk4y5LOH
+xfAHlJxWT699aNSjYSRKAuykzYqB+vBpWy0GBWY/WG9up6KXLL5k+QUL1HEe
+y3Ms7BTNXH7yCVG7duFcLlrkVUgBrdpkX2KOsi5jQfHesNa7HVF7vWpV+RTQ
+GpQjDwJTreDjICOP8gxr3UXZ2QH6uHGSmrqhtbT4NQtOWDS+xmU/Y7ezg+NA
+xEJ5eSkh9UDhbyx63gRni/jFHvHUFhIwai+zaN0bH2TgDDxVVFNXmsPIaBCC
+2A433zkbqZuieX3hi3QYMaZmAghcUwUpDaUtPs2La25oez0LrsfXStG2kdBG
+ODqKBXYR1HEewbFTTauqmkgjnI4gKFBjhEDZVH3cfTYVvotYtGiNKwybabOY
+A3fbS6Rr3ZlI8sF3AMu39G2wtYJX9VN1n7N0Z9GKrlTcgz3ueVn/B1vfDZ0=
+\
+\>"]]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"sol7", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"FindRoot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"eqsl", "[",
+ RowBox[{"[",
+ RowBox[{";;", "5"}], "]"}], "]"}], ",",
+ RowBox[{"eqsm", "[",
+ RowBox[{"[",
+ RowBox[{";;", "5"}], "]"}], "]"}], ",",
+ RowBox[{"eqsh", "[",
+ RowBox[{"[",
+ RowBox[{";;", "4"}], "]"}], "]"}]}], "]"}], "/.",
+ RowBox[{"\[Theta]c", "\[Rule]",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}]}]}], "/.", "#"}], ",",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"A", ",",
+ RowBox[{"A", "/.", "sol6"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "/.", "sol6"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"xc", ",",
+ RowBox[{"xc", "/.", "sol6"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"h0", ",",
+ RowBox[{"h0", "/.", "sol6"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"m0", ",",
+ RowBox[{"m0", "/.", "sol6"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "/.", "sol6"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "/.", "sol6"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "/.", "sol6"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "/.", "sol6"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], "/.", "sol6"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "/.", "sol6"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], "/.", "sol6"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "6", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "6", "]"}], "/.", "sol6"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "3", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "/.", "sol6"}]}], "}"}]}], "}"}],
+ "+",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"0", ",",
+ RowBox[{"0.1",
+ RowBox[{"RandomReal", "[",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"-", "1"}], ",", "1"}], "}"}], "]"}]}]}], "}"}],
+ "&"}], "/@",
+ RowBox[{"Range", "[", "14", "]"}]}], ")"}]}], ",",
+ RowBox[{"MaxIterations", "\[Rule]", "100"}]}], "]"}], ",", "#"}],
+ "]"}], "&"}], "@",
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"\[Lambda]", "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}]}], "}"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"a", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "7", ",", "14"}], "}"}]}], "]"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"b", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "4", ",", "4"}], "}"}]}], "]"}]}],
+ "]"}]}]}]], "Input",
+ CellChangeTimes->{{3.714830189789303*^9, 3.714830247113008*^9}, {
+ 3.714830370291354*^9, 3.7148304020998793`*^9}, {3.714830438333508*^9,
+ 3.714830492396922*^9}, {3.7148315519116983`*^9, 3.714831586639285*^9}, {
+ 3.714831775402582*^9, 3.714831788201981*^9}, {3.714832864307414*^9,
+ 3.714832868444124*^9}, {3.714833031190621*^9, 3.714833044877865*^9}, {
+ 3.714834919749164*^9, 3.71483502667636*^9}, {3.714836043615963*^9,
+ 3.714836044589387*^9}, {3.714836290637068*^9, 3.714836324225964*^9}, {
+ 3.714836388083845*^9, 3.714836388262471*^9}, {3.714837415845621*^9,
+ 3.714837426131487*^9}, {3.7148377212331553`*^9, 3.714837747792254*^9}, {
+ 3.7148392507136106`*^9, 3.714839277104413*^9}, {3.71483978480295*^9,
+ 3.714839809483008*^9}, {3.714839847371202*^9, 3.714839847406069*^9}, {
+ 3.71483988740263*^9, 3.714840005018692*^9}, {3.714840743913506*^9,
+ 3.7148407501038113`*^9}, {3.714862699130905*^9, 3.714862699795854*^9}, {
+ 3.714862738005735*^9, 3.71486273821253*^9}, {3.71486289724051*^9,
+ 3.714862979688342*^9}, {3.714863014778574*^9, 3.714863050057831*^9}, {
+ 3.714863159717188*^9, 3.7148631737709723`*^9}, {3.714865798463542*^9,
+ 3.714865812385675*^9}, {3.714865849921479*^9, 3.714866147921616*^9},
+ 3.714866191109682*^9, {3.714866464163389*^9, 3.714866480144454*^9}, {
+ 3.7148666669176483`*^9, 3.714866669139514*^9}, {3.714903915141719*^9,
+ 3.7149039311237593`*^9}, {3.7149046080389223`*^9, 3.714904609087657*^9}, {
+ 3.714905323493993*^9, 3.714905334954818*^9}, {3.714906611952711*^9,
+ 3.7149066120629663`*^9}, {3.714906866596887*^9, 3.714906961540287*^9}, {
+ 3.7149069965234413`*^9, 3.714907097638844*^9}, {3.714907131553007*^9,
+ 3.7149071751401873`*^9}, {3.7149928618648252`*^9, 3.714992925372754*^9}, {
+ 3.7149930670850677`*^9, 3.714993073952259*^9}, {3.7149931597991943`*^9,
+ 3.714993180305662*^9}, {3.714993784389737*^9, 3.714993798480569*^9}, {
+ 3.7149941053628273`*^9, 3.714994106716889*^9}, {3.714994374387026*^9,
+ 3.7149944171368856`*^9}, {3.714994449502027*^9, 3.714994466031096*^9}, {
+ 3.7149945294375277`*^9, 3.714994538925222*^9}, {3.7149985477412786`*^9,
+ 3.71499856076299*^9}, {3.7153800402668056`*^9, 3.715380063819003*^9}, {
+ 3.7153801112277822`*^9, 3.7153801113018713`*^9}, {3.7153802543775787`*^9,
+ 3.715380254503901*^9}, {3.7153802981992283`*^9, 3.715380299334661*^9}, {
+ 3.7153808495966997`*^9, 3.715380893631353*^9}, {3.715381067078323*^9,
+ 3.7153810689551067`*^9}, {3.71538117638953*^9, 3.7153811850848*^9}, {
+ 3.715381347168209*^9, 3.7153814376329613`*^9}, {3.715381505450323*^9,
+ 3.715381566338889*^9}, {3.7153816285483313`*^9, 3.715381637755931*^9}, {
+ 3.715381755806457*^9, 3.715381777816703*^9}, {3.715382159948557*^9,
+ 3.715382172412593*^9}, {3.715382221973988*^9, 3.715382257917728*^9}, {
+ 3.715382315687036*^9, 3.715382316406804*^9}, {3.715382487306398*^9,
+ 3.71538252434626*^9}, {3.715382689829625*^9, 3.715382811648841*^9}, {
+ 3.715410717351038*^9, 3.715410846842925*^9}, {3.7154124886862698`*^9,
+ 3.7154125070299397`*^9}, {3.715434458569008*^9, 3.715434521869276*^9}, {
+ 3.715434566574203*^9, 3.7154346403762608`*^9}, {3.715434749951892*^9,
+ 3.715434789899193*^9}, {3.715434920483838*^9, 3.715435037510107*^9}, {
+ 3.715435915463377*^9, 3.71543595887784*^9}, {3.715436050151799*^9,
+ 3.7154360503521852`*^9}, {3.715436139499629*^9, 3.7154361640828*^9}, {
+ 3.7154361947577353`*^9, 3.7154361949656773`*^9}, {3.715436256244617*^9,
+ 3.7154362778711033`*^9}, {3.715436324810646*^9, 3.715436325155998*^9}, {
+ 3.715436375549789*^9, 3.715436424167564*^9}, {3.715436494349065*^9,
+ 3.7154365095552607`*^9}, {3.715436545874235*^9, 3.715436679968976*^9}, {
+ 3.715436846663721*^9, 3.715436896512105*^9}, {3.715437356961924*^9,
+ 3.7154374017994633`*^9}, {3.715437440079638*^9, 3.715437459611669*^9}, {
+ 3.7154374993175573`*^9, 3.715437569866948*^9}, {3.715437698707839*^9,
+ 3.7154377618438168`*^9}, {3.715437816496442*^9, 3.715437824853302*^9}, {
+ 3.715438379098873*^9, 3.715438389518607*^9}, {3.715438455897355*^9,
+ 3.715438470700316*^9}, {3.7154385295090437`*^9, 3.71543854450602*^9}},
+ ExpressionUUID -> "9bf870db-226c-48c2-a135-05ec91992349"],
+
+Cell[BoxData[
+ TemplateBox[{
+ "FindRoot","lstol",
+ "\"The line search decreased the step size to within tolerance specified \
+by AccuracyGoal and PrecisionGoal but was unable to find a sufficient \
+decrease in the merit function. You may need more than \
+\\!\\(\\*RowBox[{\\\"MachinePrecision\\\"}]\\) digits of working precision to \
+meet these tolerances.\"",2,382,293,24349455327548486157,"Local"},
+ "MessageTemplate"]], "Message", "MSG",
+ CellChangeTimes->{
+ 3.715438663678535*^9},ExpressionUUID->"f7376872-e62b-4b45-8bf5-\
+f6dcec70d94b"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"A", "\[Rule]", "16.12632131442043`"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "\[Rule]",
+ RowBox[{"-", "508.5624189718711`"}]}], ",",
+ RowBox[{"xc", "\[Rule]",
+ RowBox[{"-", "0.5912703717847486`"}]}], ",",
+ RowBox[{"h0", "\[Rule]", "3.9024794821319273`"}], ",",
+ RowBox[{"m0", "\[Rule]",
+ RowBox[{"-", "0.09447646464520812`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "\[Rule]", "2.526231058474712`"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "\[Rule]",
+ RowBox[{"-", "46.485488664316904`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "\[Rule]",
+ RowBox[{"-", "2.1831445247115338`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "\[Rule]", "5.3891127094174305`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], "\[Rule]",
+ RowBox[{"-", "5.052931130409957`"}]}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "\[Rule]",
+ RowBox[{"-", "5.206019338660614`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], "\[Rule]", "2.38214970576887`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "6", "]"}], "\[Rule]",
+ RowBox[{"-", "0.4373484182899693`"}]}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "3", "]"}], "\[Rule]", "1.6050933950240336`"}], ",",
+ RowBox[{"\[Lambda]", "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "7", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "8", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "9", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "10", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "11", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "12", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "13", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "14", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "4", "]"}], "\[Rule]", "0"}]}], "}"}]], "Output",
+ CellChangeTimes->{{3.715434508517209*^9, 3.715434522717032*^9}, {
+ 3.715434641909253*^9, 3.7154346690053453`*^9}, {3.715434732718875*^9,
+ 3.71543474266555*^9}, {3.715434874497327*^9, 3.7154349441848373`*^9}, {
+ 3.715434994206181*^9, 3.71543503126991*^9}, {3.7154350648871803`*^9,
+ 3.715435112617943*^9}, 3.7154353128301697`*^9, 3.715435371292686*^9, {
+ 3.715435929475428*^9, 3.715435967733891*^9}, 3.715436022773284*^9, {
+ 3.715436134775229*^9, 3.7154363268298492`*^9}, {3.715436536472657*^9,
+ 3.7154366816436872`*^9}, {3.7154368527870703`*^9, 3.715436900631548*^9},
+ 3.715437404374403*^9, {3.715437455040145*^9, 3.7154374631756477`*^9},
+ 3.7154375774643173`*^9, 3.715437851666883*^9, {3.7154378852105093`*^9,
+ 3.7154379038966713`*^9}, 3.715437942913794*^9, 3.7154380050602713`*^9,
+ 3.7154380841347313`*^9, 3.7154381508082247`*^9, 3.715438204960971*^9,
+ 3.715438294365855*^9, 3.715438384807847*^9, 3.715438420008923*^9, {
+ 3.7154384536613398`*^9, 3.715438464513998*^9}, {3.715438504519093*^9,
+ 3.715438527836095*^9}, 3.715438558899473*^9, {3.715438607716865*^9,
+ 3.715438626002887*^9}, 3.715438663686308*^9}]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]f", "[",
+ RowBox[{"A", ",", "B", ",", "m0", ",", "h0", ",",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",",
+ RowBox[{"ff", "[",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ",", "a", ",", "Nf"}], "]"}],
+ ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}], "[",
+ "\[Theta]", "]"}], "/.", "sol6"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ")"}]}], "-", ".1"}], "/.",
+ "sol6"}], ",",
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}], "+", ".1"}], "/.", "sol6"}]}], "}"}],
+ ",",
+ RowBox[{"GridLines", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], "/.", "sol6"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{"1", "+",
+ RowBox[{"Exp", "[", "xc", "]"}]}], ")"}]}], "/.", "sol6"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}]}], "}"}], ",",
+ "None"}], "}"}]}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7137866834085007`*^9, 3.713786686617977*^9}, {
+ 3.7137867217375593`*^9, 3.713786743847704*^9}, {3.7137868182565737`*^9,
+ 3.713786841939253*^9}, {3.713786924527413*^9, 3.713786974385107*^9}, {
+ 3.713787042137055*^9, 3.713787053211768*^9}, {3.7137870901431293`*^9,
+ 3.713787090608033*^9}, {3.713787341082642*^9, 3.713787364514805*^9}, {
+ 3.713787741825034*^9, 3.713787770799416*^9}, {3.713787886687026*^9,
+ 3.7137878869430323`*^9}, {3.7137888266494427`*^9, 3.713788842463161*^9}, {
+ 3.713792007167099*^9, 3.713792021787199*^9}, 3.7137922767568893`*^9, {
+ 3.7137944309219913`*^9, 3.713794435906933*^9}, {3.713796878199485*^9,
+ 3.713796887244006*^9}, {3.713796921081345*^9, 3.713796926722969*^9},
+ 3.7137971807617273`*^9, {3.713827438749836*^9, 3.7138274538037987`*^9}, {
+ 3.713827581712484*^9, 3.713827582113104*^9}, {3.714130909227974*^9,
+ 3.714130935160301*^9}, {3.7141311982159986`*^9, 3.714131202051044*^9}, {
+ 3.7141312471974087`*^9, 3.714131274688491*^9}, {3.714131759254038*^9,
+ 3.714131761606591*^9}, {3.714132524986391*^9, 3.7141325265937033`*^9}, {
+ 3.7141337230994473`*^9, 3.714133746090554*^9}, {3.7141354101671886`*^9,
+ 3.714135444491536*^9}, {3.7141356514052277`*^9, 3.7141357777377653`*^9}, {
+ 3.714136651714642*^9, 3.714136680207863*^9}, {3.714137789157419*^9,
+ 3.714137796981852*^9}, 3.7141379430983753`*^9, {3.714138196842355*^9,
+ 3.714138197292419*^9}, {3.714222654297492*^9, 3.7142226816813173`*^9}, {
+ 3.7142241379694967`*^9, 3.714224153092409*^9}, {3.714230783232278*^9,
+ 3.714230783558969*^9}, {3.714230818213155*^9, 3.714230826884192*^9}, {
+ 3.7142341440952263`*^9, 3.714234196383806*^9}, {3.714234229569173*^9,
+ 3.714234230040387*^9}, {3.714831591839006*^9, 3.7148315929177227`*^9}, {
+ 3.715434418590646*^9, 3.715434426546102*^9}, {3.715435478227995*^9,
+ 3.715435512599924*^9}, {3.715435553225832*^9, 3.715435560482403*^9}, {
+ 3.7154363327341967`*^9, 3.7154363451897*^9}, {3.715436686902709*^9,
+ 3.7154366961241283`*^9}, {3.715437422540103*^9, 3.715437424552966*^9}, {
+ 3.715437593595909*^9, 3.715437602834928*^9}},
+ ExpressionUUID -> "5d18036a-1593-48ad-9b4f-438b8c213ae3"],
+
+Cell[BoxData[
+ GraphicsBox[{{{}, {},
+ TagBox[
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6], Opacity[
+ 1.], LineBox[CompressedData["
+1:eJw12nk4Vd/XAPArkoSEipKhKKRCpSJdiVBCEYpkHoqMCZExmTIryfQrZYgy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+ "]]},
+ Annotation[#, "Charting`Private`Tag$38835#1"]& ]}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}},
+ GridLines->{{{1.6443998213362718`, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}, {-1.6443998213362718`, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}}, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 2, 2][#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 2, 2][#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-1.744399821336272, 1.744399821336272}, {-1.2165121247310253`,
+ 1.7685287298442294`}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.7137868428211517`*^9, {3.713786876436328*^9, 3.713786880892294*^9}, {
+ 3.713786911917448*^9, 3.713786975636983*^9}, {3.713787043580344*^9,
+ 3.713787054112487*^9}, {3.713787091884612*^9, 3.7137871303293543`*^9}, {
+ 3.7137873441971073`*^9, 3.713787366054718*^9}, {3.713787744869535*^9,
+ 3.713787772248114*^9}, {3.713787873953774*^9, 3.713787896994111*^9}, {
+ 3.7137880959645233`*^9, 3.7137881089961042`*^9}, 3.71378817266117*^9, {
+ 3.713788840185727*^9, 3.713788843629333*^9}, {3.713792008614105*^9,
+ 3.713792022973323*^9}, 3.713792277625108*^9, {3.7137944343878193`*^9,
+ 3.71379443703505*^9}, {3.713796880744809*^9, 3.713796888383353*^9}, {
+ 3.7137969255232973`*^9, 3.713796927819489*^9}, 3.71379718348458*^9, {
+ 3.713827434665268*^9, 3.713827443488235*^9}, 3.713827482758223*^9, {
+ 3.71382758304496*^9, 3.7138276201271763`*^9}, {3.714131200316176*^9,
+ 3.7141312045699244`*^9}, {3.714131254215077*^9, 3.714131277251986*^9},
+ 3.7141317655386057`*^9, {3.714132521860244*^9, 3.714132531422585*^9},
+ 3.714132594800725*^9, {3.714133724809444*^9, 3.714133751537928*^9}, {
+ 3.714135421393217*^9, 3.714135451507843*^9}, {3.71413567570129*^9,
+ 3.7141356998242083`*^9}, {3.714135733025814*^9, 3.7141357840691547`*^9},
+ 3.714136120052483*^9, {3.7141366600281467`*^9, 3.7141366864873533`*^9}, {
+ 3.7141377784504833`*^9, 3.7141377991028967`*^9}, 3.7141379539677057`*^9,
+ 3.714138050818244*^9, {3.714138200060054*^9, 3.7141382064545603`*^9},
+ 3.7142171461980963`*^9, 3.7142188447804413`*^9, 3.714222440250145*^9, {
+ 3.714222657733528*^9, 3.714222683268447*^9}, {3.714224133382696*^9,
+ 3.7142241549538307`*^9}, 3.714225354640583*^9, 3.714225714002556*^9,
+ 3.714227554313645*^9, 3.714227908653563*^9, 3.71422857576338*^9,
+ 3.714228696834524*^9, 3.71422909104809*^9, 3.714229141599442*^9,
+ 3.7142292486960983`*^9, {3.714230780456892*^9, 3.714230785679422*^9}, {
+ 3.7142308157519608`*^9, 3.714230828606016*^9}, 3.7142340780685377`*^9, {
+ 3.714234224600782*^9, 3.714234237139142*^9}, 3.714234307673902*^9,
+ 3.7142348074233837`*^9, 3.714235165607085*^9, 3.714237644331621*^9,
+ 3.71423838237761*^9, 3.714239395610619*^9, {3.7143082194063873`*^9,
+ 3.714308247142568*^9}, {3.714308319830029*^9, 3.7143083930961514`*^9}, {
+ 3.714308799382699*^9, 3.714308818140257*^9}, {3.7143090986415577`*^9,
+ 3.714309199096778*^9}, 3.714309603240282*^9, 3.7143097173721027`*^9,
+ 3.714310140061274*^9, 3.714310672380919*^9, 3.714310721292274*^9,
+ 3.71439357126875*^9, {3.7143937330438223`*^9, 3.714393762637719*^9},
+ 3.7143937939425077`*^9, 3.714400449835739*^9, 3.714739171437193*^9,
+ 3.7147392613657093`*^9, 3.714755299481934*^9, 3.7148067784238777`*^9,
+ 3.714807443165606*^9, 3.714808174359345*^9, 3.714808692060326*^9,
+ 3.7148090847780457`*^9, 3.71483049403199*^9, 3.7148315942188587`*^9,
+ 3.7148317908338337`*^9, 3.714832871422695*^9, 3.714833048025202*^9,
+ 3.7148350215333233`*^9, 3.7148350520243893`*^9, 3.714836047598082*^9, {
+ 3.714836304567871*^9, 3.714836327074108*^9}, 3.7148363910890007`*^9,
+ 3.7148374291199207`*^9, {3.714837724270095*^9, 3.714837750461904*^9},
+ 3.714839281594843*^9, 3.714839485997526*^9, {3.7148399217687073`*^9,
+ 3.714840006646434*^9}, 3.714840752854959*^9, 3.714862651360503*^9,
+ 3.7148627021396103`*^9, 3.714862740801112*^9, {3.714862969293866*^9,
+ 3.7148629824280033`*^9}, 3.714863054085867*^9, 3.71486317733552*^9,
+ 3.714865815026454*^9, 3.7148658759899273`*^9, 3.714865938320684*^9,
+ 3.714866099372448*^9, {3.714906902368848*^9, 3.714906964342436*^9},
+ 3.7149071068285093`*^9, 3.714992401471239*^9, 3.7149924647613163`*^9,
+ 3.71499272340639*^9, {3.714992783201962*^9, 3.714992810689834*^9},
+ 3.714992857604124*^9, 3.714998519298162*^9, 3.715000485307694*^9,
+ 3.7150072939574223`*^9, 3.715007338683172*^9, 3.715008679832819*^9,
+ 3.7150087425044003`*^9, 3.715093138103307*^9, 3.715097504769623*^9,
+ 3.715097672970394*^9, 3.715355281265408*^9, 3.715359544903166*^9,
+ 3.715359799972622*^9, 3.715368503925208*^9, 3.715369266042727*^9,
+ 3.7153696466716003`*^9, 3.715369680270297*^9, 3.7153697982211237`*^9,
+ 3.715369833127049*^9, 3.7153792367576237`*^9, 3.715379353669621*^9,
+ 3.7153794693369417`*^9, 3.7153799919059668`*^9, 3.7153800219739523`*^9,
+ 3.715380137520944*^9, 3.7153807680415697`*^9, 3.715380909939959*^9,
+ 3.715381048917873*^9, 3.7153820791572447`*^9, 3.715382202952688*^9,
+ 3.715382670493622*^9, 3.715383212766765*^9, 3.715410733790126*^9,
+ 3.715434432805786*^9, {3.715435480425231*^9, 3.7154355167695847`*^9}, {
+ 3.7154355580877438`*^9, 3.7154355646310577`*^9}, {3.715436349120124*^9,
+ 3.7154363562698383`*^9}, 3.71543670723903*^9, {3.715437416368321*^9,
+ 3.7154374314322033`*^9}, 3.715437472496801*^9, {3.715437596715735*^9,
+ 3.715437611810522*^9}},
+ ExpressionUUID -> "ed89f101-ab66-4076-8913-f03c34eda116"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalF]f", "[",
+ RowBox[{"A", ",", "B", ",", "m0", ",", "h0", ",", "\[Theta]c", ",",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}], "[",
+ "\[Theta]", "]"}], "/.", "sol2"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Theta]c"}], "-", "1"}], "/.", "sol2"}], ",",
+ RowBox[{
+ RowBox[{"\[Theta]c", "+", "1"}], "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"GridLines", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"\[Theta]c", "/.", "sol2"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Theta]c"}], "/.", "sol2"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}]}], "}"}], ",",
+ "None"}], "}"}]}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7137866834085007`*^9, 3.713786686617977*^9}, {
+ 3.7137867217375593`*^9, 3.713786743847704*^9}, {3.7137868182565737`*^9,
+ 3.713786841939253*^9}, {3.713786924527413*^9, 3.713786974385107*^9}, {
+ 3.713787042137055*^9, 3.713787053211768*^9}, {3.7137870901431293`*^9,
+ 3.713787090608033*^9}, {3.713787341082642*^9, 3.713787364514805*^9}, {
+ 3.713787741825034*^9, 3.713787770799416*^9}, {3.713787886687026*^9,
+ 3.7137878869430323`*^9}, {3.7137888266494427`*^9, 3.713788842463161*^9}, {
+ 3.713792007167099*^9, 3.713792021787199*^9}, 3.7137922767568893`*^9, {
+ 3.7137944309219913`*^9, 3.713794435906933*^9}, {3.713796878199485*^9,
+ 3.713796887244006*^9}, {3.713796921081345*^9, 3.713796926722969*^9},
+ 3.7137971807617273`*^9, {3.713827438749836*^9, 3.7138274538037987`*^9}, {
+ 3.713827581712484*^9, 3.713827582113104*^9}, {3.714130909227974*^9,
+ 3.714130935160301*^9}, {3.7141311982159986`*^9, 3.714131202051044*^9}, {
+ 3.7141312471974087`*^9, 3.714131274688491*^9}, {3.714131759254038*^9,
+ 3.714131761606591*^9}, {3.714132524986391*^9, 3.7141325265937033`*^9}, {
+ 3.7141337230994473`*^9, 3.714133746090554*^9}, {3.7141354101671886`*^9,
+ 3.714135444491536*^9}, {3.7141356514052277`*^9, 3.7141357777377653`*^9}, {
+ 3.714136651714642*^9, 3.714136680207863*^9}, {3.714137789157419*^9,
+ 3.714137796981852*^9}, 3.7141379430983753`*^9, {3.714138196842355*^9,
+ 3.714138197292419*^9}, {3.714222654297492*^9, 3.7142226816813173`*^9}, {
+ 3.7142241379694967`*^9, 3.714224153092409*^9}, {3.714230783232278*^9,
+ 3.714230783558969*^9}, {3.714230818213155*^9, 3.714230826884192*^9}, {
+ 3.7142341440952263`*^9, 3.714234196383806*^9}, {3.714234229569173*^9,
+ 3.714234230040387*^9}, {3.714831591839006*^9, 3.7148315929177227`*^9}, {
+ 3.715434418590646*^9, 3.715434426546102*^9}, {3.715434541255731*^9,
+ 3.715434549087549*^9}},
+ ExpressionUUID -> "5d18036a-1593-48ad-9b4f-438b8c213ae3"],
+
+Cell[BoxData[
+ GraphicsBox[{{{}, {},
+ TagBox[
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6], Opacity[
+ 1.], LineBox[CompressedData["
+1:eJw12Hk0VP/7APA7Q2XJHtn6WBNZErLrDiJlSUJEGkKlxtJiyTbWhKylfAjZ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+ "]]},
+ Annotation[#, "Charting`Private`Tag$37080#1"]& ]}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}},
+ GridLines->{{{1.2654194384652657`, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}, {-1.2654194384652657`, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}}, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 2, 2][#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 2, 2][#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-2.2654194384652655`,
+ 2.2654194384652655`}, {-0.2790794945188296, 0.}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.7137868428211517`*^9, {3.713786876436328*^9, 3.713786880892294*^9}, {
+ 3.713786911917448*^9, 3.713786975636983*^9}, {3.713787043580344*^9,
+ 3.713787054112487*^9}, {3.713787091884612*^9, 3.7137871303293543`*^9}, {
+ 3.7137873441971073`*^9, 3.713787366054718*^9}, {3.713787744869535*^9,
+ 3.713787772248114*^9}, {3.713787873953774*^9, 3.713787896994111*^9}, {
+ 3.7137880959645233`*^9, 3.7137881089961042`*^9}, 3.71378817266117*^9, {
+ 3.713788840185727*^9, 3.713788843629333*^9}, {3.713792008614105*^9,
+ 3.713792022973323*^9}, 3.713792277625108*^9, {3.7137944343878193`*^9,
+ 3.71379443703505*^9}, {3.713796880744809*^9, 3.713796888383353*^9}, {
+ 3.7137969255232973`*^9, 3.713796927819489*^9}, 3.71379718348458*^9, {
+ 3.713827434665268*^9, 3.713827443488235*^9}, 3.713827482758223*^9, {
+ 3.71382758304496*^9, 3.7138276201271763`*^9}, {3.714131200316176*^9,
+ 3.7141312045699244`*^9}, {3.714131254215077*^9, 3.714131277251986*^9},
+ 3.7141317655386057`*^9, {3.714132521860244*^9, 3.714132531422585*^9},
+ 3.714132594800725*^9, {3.714133724809444*^9, 3.714133751537928*^9}, {
+ 3.714135421393217*^9, 3.714135451507843*^9}, {3.71413567570129*^9,
+ 3.7141356998242083`*^9}, {3.714135733025814*^9, 3.7141357840691547`*^9},
+ 3.714136120052483*^9, {3.7141366600281467`*^9, 3.7141366864873533`*^9}, {
+ 3.7141377784504833`*^9, 3.7141377991028967`*^9}, 3.7141379539677057`*^9,
+ 3.714138050818244*^9, {3.714138200060054*^9, 3.7141382064545603`*^9},
+ 3.7142171461980963`*^9, 3.7142188447804413`*^9, 3.714222440250145*^9, {
+ 3.714222657733528*^9, 3.714222683268447*^9}, {3.714224133382696*^9,
+ 3.7142241549538307`*^9}, 3.714225354640583*^9, 3.714225714002556*^9,
+ 3.714227554313645*^9, 3.714227908653563*^9, 3.71422857576338*^9,
+ 3.714228696834524*^9, 3.71422909104809*^9, 3.714229141599442*^9,
+ 3.7142292486960983`*^9, {3.714230780456892*^9, 3.714230785679422*^9}, {
+ 3.7142308157519608`*^9, 3.714230828606016*^9}, 3.7142340780685377`*^9, {
+ 3.714234224600782*^9, 3.714234237139142*^9}, 3.714234307673902*^9,
+ 3.7142348074233837`*^9, 3.714235165607085*^9, 3.714237644331621*^9,
+ 3.71423838237761*^9, 3.714239395610619*^9, {3.7143082194063873`*^9,
+ 3.714308247142568*^9}, {3.714308319830029*^9, 3.7143083930961514`*^9}, {
+ 3.714308799382699*^9, 3.714308818140257*^9}, {3.7143090986415577`*^9,
+ 3.714309199096778*^9}, 3.714309603240282*^9, 3.7143097173721027`*^9,
+ 3.714310140061274*^9, 3.714310672380919*^9, 3.714310721292274*^9,
+ 3.71439357126875*^9, {3.7143937330438223`*^9, 3.714393762637719*^9},
+ 3.7143937939425077`*^9, 3.714400449835739*^9, 3.714739171437193*^9,
+ 3.7147392613657093`*^9, 3.714755299481934*^9, 3.7148067784238777`*^9,
+ 3.714807443165606*^9, 3.714808174359345*^9, 3.714808692060326*^9,
+ 3.7148090847780457`*^9, 3.71483049403199*^9, 3.7148315942188587`*^9,
+ 3.7148317908338337`*^9, 3.714832871422695*^9, 3.714833048025202*^9,
+ 3.7148350215333233`*^9, 3.7148350520243893`*^9, 3.714836047598082*^9, {
+ 3.714836304567871*^9, 3.714836327074108*^9}, 3.7148363910890007`*^9,
+ 3.7148374291199207`*^9, {3.714837724270095*^9, 3.714837750461904*^9},
+ 3.714839281594843*^9, 3.714839485997526*^9, {3.7148399217687073`*^9,
+ 3.714840006646434*^9}, 3.714840752854959*^9, 3.714862651360503*^9,
+ 3.7148627021396103`*^9, 3.714862740801112*^9, {3.714862969293866*^9,
+ 3.7148629824280033`*^9}, 3.714863054085867*^9, 3.71486317733552*^9,
+ 3.714865815026454*^9, 3.7148658759899273`*^9, 3.714865938320684*^9,
+ 3.714866099372448*^9, {3.714906902368848*^9, 3.714906964342436*^9},
+ 3.7149071068285093`*^9, 3.714992401471239*^9, 3.7149924647613163`*^9,
+ 3.71499272340639*^9, {3.714992783201962*^9, 3.714992810689834*^9},
+ 3.714992857604124*^9, 3.714998519298162*^9, 3.715000485307694*^9,
+ 3.7150072939574223`*^9, 3.715007338683172*^9, 3.715008679832819*^9,
+ 3.7150087425044003`*^9, 3.715093138103307*^9, 3.715097504769623*^9,
+ 3.715097672970394*^9, 3.715355281265408*^9, 3.715359544903166*^9,
+ 3.715359799972622*^9, 3.715368503925208*^9, 3.715369266042727*^9,
+ 3.7153696466716003`*^9, 3.715369680270297*^9, 3.7153697982211237`*^9,
+ 3.715369833127049*^9, 3.7153792367576237`*^9, 3.715379353669621*^9,
+ 3.7153794693369417`*^9, 3.7153799919059668`*^9, 3.7153800219739523`*^9,
+ 3.715380137520944*^9, 3.7153807680415697`*^9, 3.715380909939959*^9,
+ 3.715381048917873*^9, 3.7153820791572447`*^9, 3.715382202952688*^9,
+ 3.715382670493622*^9, 3.715383212766765*^9, 3.715410733790126*^9,
+ 3.715434432805786*^9, 3.715434551625965*^9},
+ ExpressionUUID -> "ed89f101-ab66-4076-8913-f03c34eda116"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]f", "[",
+ RowBox[{"A", ",", "B", ",", "h0", ",", "\[Theta]c", ",",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}], "[",
+ "\[Theta]", "]"}], "/.", "sol2"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Theta]c"}], "-", "1"}], "/.", "sol2"}], ",",
+ RowBox[{
+ RowBox[{"\[Theta]c", "+", "1"}], "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"GridLines", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"\[Theta]c", "/.", "sol2"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Theta]c"}], "/.", "sol2"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}]}], "}"}], ",",
+ "None"}], "}"}]}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7137866834085007`*^9, 3.713786686617977*^9}, {
+ 3.7137867217375593`*^9, 3.713786743847704*^9}, {3.7137868182565737`*^9,
+ 3.713786841939253*^9}, {3.713786924527413*^9, 3.713786974385107*^9}, {
+ 3.713787042137055*^9, 3.713787053211768*^9}, {3.7137870901431293`*^9,
+ 3.713787090608033*^9}, {3.713787341082642*^9, 3.713787364514805*^9}, {
+ 3.713787741825034*^9, 3.713787770799416*^9}, {3.713787886687026*^9,
+ 3.7137878869430323`*^9}, {3.7137888266494427`*^9, 3.713788842463161*^9}, {
+ 3.713792007167099*^9, 3.713792021787199*^9}, 3.7137922767568893`*^9, {
+ 3.7137944309219913`*^9, 3.713794435906933*^9}, {3.713796878199485*^9,
+ 3.713796887244006*^9}, {3.713796921081345*^9, 3.713796926722969*^9},
+ 3.7137971807617273`*^9, {3.713827438749836*^9, 3.7138274538037987`*^9}, {
+ 3.713827581712484*^9, 3.713827582113104*^9}, {3.714130909227974*^9,
+ 3.714130935160301*^9}, {3.7141311982159986`*^9, 3.714131202051044*^9}, {
+ 3.7141312471974087`*^9, 3.714131274688491*^9}, {3.714131759254038*^9,
+ 3.714131761606591*^9}, {3.714132524986391*^9, 3.7141325265937033`*^9}, {
+ 3.7141337230994473`*^9, 3.714133746090554*^9}, {3.7141354101671886`*^9,
+ 3.714135444491536*^9}, {3.7141356514052277`*^9, 3.7141357777377653`*^9}, {
+ 3.714136651714642*^9, 3.714136680207863*^9}, {3.714137789157419*^9,
+ 3.714137796981852*^9}, 3.7141379430983753`*^9, {3.714138196842355*^9,
+ 3.714138197292419*^9}, {3.714222654297492*^9, 3.7142226816813173`*^9}, {
+ 3.7142241379694967`*^9, 3.714224153092409*^9}, {3.714230783232278*^9,
+ 3.714230783558969*^9}, {3.714230818213155*^9, 3.714230826884192*^9}, {
+ 3.7142341440952263`*^9, 3.714234196383806*^9}, {3.714234229569173*^9,
+ 3.714234230040387*^9}, {3.714831591839006*^9, 3.7148315929177227`*^9}, {
+ 3.7149071829100533`*^9,
+ 3.7149071945037107`*^9}},ExpressionUUID->"77191d54-4d6c-430f-89ad-\
+4954156f8391"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{}, {},
+ TagBox[{
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6],
+ Opacity[1.], LineBox[CompressedData["
+1:eJwVlntUjNsbx9MNRSJSSkd0SEqJFNJTnFSEUopKNwwyFFJJ7pdyO0T3ojrn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+ "]], LineBox[CompressedData["
+1:eJw12Wk4lF8bAHAVIlGkskaWkohCluIWKRWFVNrttFhDKPtSCqlESkmoSMia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+ "]], LineBox[CompressedData["
+1:eJwVlnk0lev7xncyRUiGHLNvcUikEoXTtdXRERLSIBIyZJ6ONKrkF6LOoW9z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+ "]]}},
+ Annotation[#, "Charting`Private`Tag$66648#1"]& ]}}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0.6184380824887925},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}},
+ GridLines->{{{1.05, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}, {-1.05, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}}, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-2.05, 2.05}, {0.6184380824887925, 1.2428284263630975`}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.7137868428211517`*^9, {3.713786876436328*^9, 3.713786880892294*^9}, {
+ 3.713786911917448*^9, 3.713786975636983*^9}, {3.713787043580344*^9,
+ 3.713787054112487*^9}, {3.713787091884612*^9, 3.7137871303293543`*^9}, {
+ 3.7137873441971073`*^9, 3.713787366054718*^9}, {3.713787744869535*^9,
+ 3.713787772248114*^9}, {3.713787873953774*^9, 3.713787896994111*^9}, {
+ 3.7137880959645233`*^9, 3.7137881089961042`*^9}, 3.71378817266117*^9, {
+ 3.713788840185727*^9, 3.713788843629333*^9}, {3.713792008614105*^9,
+ 3.713792022973323*^9}, 3.713792277625108*^9, {3.7137944343878193`*^9,
+ 3.71379443703505*^9}, {3.713796880744809*^9, 3.713796888383353*^9}, {
+ 3.7137969255232973`*^9, 3.713796927819489*^9}, 3.71379718348458*^9, {
+ 3.713827434665268*^9, 3.713827443488235*^9}, 3.713827482758223*^9, {
+ 3.71382758304496*^9, 3.7138276201271763`*^9}, {3.714131200316176*^9,
+ 3.7141312045699244`*^9}, {3.714131254215077*^9, 3.714131277251986*^9},
+ 3.7141317655386057`*^9, {3.714132521860244*^9, 3.714132531422585*^9},
+ 3.714132594800725*^9, {3.714133724809444*^9, 3.714133751537928*^9}, {
+ 3.714135421393217*^9, 3.714135451507843*^9}, {3.71413567570129*^9,
+ 3.7141356998242083`*^9}, {3.714135733025814*^9, 3.7141357840691547`*^9},
+ 3.714136120052483*^9, {3.7141366600281467`*^9, 3.7141366864873533`*^9}, {
+ 3.7141377784504833`*^9, 3.7141377991028967`*^9}, 3.7141379539677057`*^9,
+ 3.714138050818244*^9, {3.714138200060054*^9, 3.7141382064545603`*^9},
+ 3.7142171461980963`*^9, 3.7142188447804413`*^9, 3.714222440250145*^9, {
+ 3.714222657733528*^9, 3.714222683268447*^9}, {3.714224133382696*^9,
+ 3.7142241549538307`*^9}, 3.714225354640583*^9, 3.714225714002556*^9,
+ 3.714227554313645*^9, 3.714227908653563*^9, 3.71422857576338*^9,
+ 3.714228696834524*^9, 3.71422909104809*^9, 3.714229141599442*^9,
+ 3.7142292486960983`*^9, {3.714230780456892*^9, 3.714230785679422*^9}, {
+ 3.7142308157519608`*^9, 3.714230828606016*^9}, 3.7142340780685377`*^9, {
+ 3.714234224600782*^9, 3.714234237139142*^9}, 3.714234307673902*^9,
+ 3.7142348074233837`*^9, 3.714235165607085*^9, 3.714237644331621*^9,
+ 3.71423838237761*^9, 3.714239395610619*^9, {3.7143082194063873`*^9,
+ 3.714308247142568*^9}, {3.714308319830029*^9, 3.7143083930961514`*^9}, {
+ 3.714308799382699*^9, 3.714308818140257*^9}, {3.7143090986415577`*^9,
+ 3.714309199096778*^9}, 3.714309603240282*^9, 3.7143097173721027`*^9,
+ 3.714310140061274*^9, 3.714310672380919*^9, 3.714310721292274*^9,
+ 3.71439357126875*^9, {3.7143937330438223`*^9, 3.714393762637719*^9},
+ 3.7143937939425077`*^9, 3.714400449835739*^9, 3.714739171437193*^9,
+ 3.7147392613657093`*^9, 3.714755299481934*^9, 3.7148067784238777`*^9,
+ 3.714807443165606*^9, 3.714808174359345*^9, 3.714808692060326*^9,
+ 3.7148090847780457`*^9, 3.71483049403199*^9, 3.7148315942188587`*^9,
+ 3.7148317908338337`*^9, 3.714832871422695*^9, 3.714833048025202*^9,
+ 3.7148350215333233`*^9, 3.7148350520243893`*^9, 3.714836047598082*^9, {
+ 3.714836304567871*^9, 3.714836327074108*^9}, 3.7148363910890007`*^9,
+ 3.7148374291199207`*^9, {3.714837724270095*^9, 3.714837750461904*^9},
+ 3.714839281594843*^9, 3.714839485997526*^9, {3.7148399217687073`*^9,
+ 3.714840006646434*^9}, 3.714840752854959*^9, 3.714862651360503*^9,
+ 3.7148627021396103`*^9, 3.714862740801112*^9, {3.714862969293866*^9,
+ 3.7148629824280033`*^9}, 3.714863054085867*^9, 3.71486317733552*^9,
+ 3.714865815026454*^9, 3.7148658759899273`*^9, 3.714865938320684*^9,
+ 3.714866099372448*^9, {3.714906902368848*^9, 3.714906964342436*^9}, {
+ 3.7149071783348713`*^9, 3.714907196437067*^9}, 3.714992939815189*^9,
+ 3.714993192034965*^9, 3.714993812357312*^9, 3.714998584565236*^9,
+ 3.715380032122017*^9, 3.715380165351988*^9, 3.715380920920414*^9,
+ 3.7153817900814743`*^9, 3.71538261860235*^9,
+ 3.715412516543985*^9},ExpressionUUID->"d3d21337-de8a-489f-9f19-\
+d9aabe0e30e6"]
+}, Open ]],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"func", "=",
+ RowBox[{"Sort", "@",
+ RowBox[{"(",
+ RowBox[{"Reverse", "/@",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{"h0", " ",
+ RowBox[{
+ RowBox[{
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], "[",
+ "\[Theta]", "]"}], "/",
+ SuperscriptBox[
+ RowBox[{"Abs", "[",
+ RowBox[{"1", "-",
+ SuperscriptBox["\[Theta]", "2"]}], "]"}],
+ "\[CapitalDelta]"]}]}], "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "1.0001", ",",
+ RowBox[{
+ RowBox[{"\[Theta]c", "-", "0.0001"}], "/.", "sol2"}], ",",
+ "0.0001"}], "}"}]}], "]"}]}], ")"}]}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.7142298098317432`*^9, 3.7142298123326817`*^9}, {
+ 3.7142298482193117`*^9, 3.714229970202634*^9}, {3.714230013902568*^9,
+ 3.714230017096983*^9}, {3.7142301874072742`*^9, 3.714230189981579*^9}, {
+ 3.7142343285785217`*^9, 3.71423437965909*^9}, {3.714234573883163*^9,
+ 3.7142345750236673`*^9}, {3.714310207432946*^9, 3.714310214897394*^9}, {
+ 3.714393666840551*^9, 3.714393672892824*^9}, {3.7148630616658983`*^9,
+ 3.714863062345354*^9}, {3.7149072015842457`*^9,
+ 3.714907204591736*^9}},ExpressionUUID->"2e78eaa4-cb34-44c3-849d-\
+3080bc18abc2"],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Show", "[",
+ RowBox[{
+ RowBox[{"ListLogLogPlot", "[", "sus", "]"}], ",",
+ RowBox[{"ListLogLogPlot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[", "1", "]"}], "]"}], ",",
+ RowBox[{
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "2"], "-", "1"}], ")"}],
+ RowBox[{"7", "/", "4"}]],
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]f", "[",
+ RowBox[{"A", ",", "B", ",", "h0", ",", "\[Theta]c", ",",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}],
+ "[",
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "]"}]}], "/.", "sol2"}]}], "}"}],
+ "&"}], "/@", "func"}], ",",
+ RowBox[{"Joined", "\[Rule]", "True"}], ",",
+ RowBox[{"PlotStyle", "\[Rule]", "Green"}]}], "]"}], ",",
+ RowBox[{"LogLogPlot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ",", "B"}], "]"}], "[", "X",
+ "]"}], ",",
+ RowBox[{"{",
+ RowBox[{"X", ",", "0.001", ",", "1000"}], "}"}], ",",
+ RowBox[{"PlotStyle", "\[Rule]", "Red"}]}], "]"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7142246940999937`*^9, 3.714224710004931*^9}, {
+ 3.714224744516198*^9, 3.714224747961575*^9}, {3.714228221815777*^9,
+ 3.714228223946136*^9}, {3.7142301107700567`*^9, 3.714230113937529*^9}, {
+ 3.714231178048731*^9, 3.714231307094479*^9}, {3.71423525344033*^9,
+ 3.714235256372561*^9}, {3.714309222500064*^9, 3.714309223072945*^9}, {
+ 3.71483162346412*^9, 3.7148316239436073`*^9}, {3.71490720803971*^9,
+ 3.714907208136586*^9}},ExpressionUUID->"f27fb1c5-7730-4314-9770-\
+da0a9286f08d"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{}, {{{}, {
+ {RGBColor[0.368417, 0.506779, 0.709798], PointSize[
+ 0.0055000000000000005`], AbsoluteThickness[1.6],
+ PointBox[CompressedData["
+1:eJwVl3k8FW8bxq3Hdubsx7GTrUiorIkZ0mJNJLRIKipUWixtRKEUUZbKD6Gy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+
+ "]]}}, {}}}, {}, {}, {{}, {}}, {{}, {}}}}, {{{}, {{{}, {}, {
+ {RGBColor[0, 1, 0], PointSize[0.007333333333333334],
+ AbsoluteThickness[1.6], LineBox[CompressedData["
+1:eJwVV2c81u8btQnPHh6PPZJklJaS7ruBNCjxy8iuKIpISIuUZIS0VJKEtKjI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+
+ "]]}}}}, {}, {}, {{}, {}}, {{}, {}}}}, {{{{}, {},
+ TagBox[{
+ {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.],
+ LineBox[CompressedData["
+1:eJwV13c8lW8UAHB732Vcd5RVSQNZWclNGamUFEIyMqPISpFSyEgUKRpWRElR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+ "]],
+ LineBox[CompressedData["
+1:eJwBgQF+/iFib1JlAgAAABcAAAACAAAAlyAZrU5KGkCxgSnemrshwMOutnul
+bxpAIggrZBXOIcA+FuN7gHQaQCr8p6h80CHAM+U7fDZ+GkBh2hxHS9UhwB6D
+7XyikRpARxgV2ejeIcDzvlB+ergaQNyUb0ol8iHAnjYXgSoGG0CqT+4toxgi
+wBmeQ4EFCxtAkXjSQgsbIsCUBXCB4A8bQC5q+l1zHSLAidTIgZYZG0DBrvmm
+QyIiwHRyeoICLRtAgIrzguQrIsBJrt2D2lMbQB++2lwnPyLAxBUKhLVYG0A4
+V8PSj0EiwD59NoSQXRtAwRyDTvhDIsA0TI+ERmcbQEP+bVfJSCLAHupAhbJ6
+G0DzVTuua1IiwJlRbYWNfxtAklwnUtRUIsAUuZmFaIQbQNXktvs8VyLACYjy
+hR6OG0BdJqdfDlwiwITvHob5khtAf0b7GXdeIsD+VkuG1JcbQO+12dnfYCLA
+eb53hq+cG0DQODyfSGMiwPQlpIaKoRtA5JkcarFlIsCs8KOS
+ "]]}},
+ Annotation[#,
+ "Charting`Private`Tag$66793#1"]& ], {}}, {{}, {}, {}}}, {}, {}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{-6.271123276191262, -9.801059382476273},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledFrameTicks[{Log, Exp}]}, {
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledFrameTicks[{Log, Exp}]}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{"CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Exp[#]& )[
+ Part[#, 1]],
+ (Exp[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Exp[#]& )[
+ Part[#, 1]],
+ (Exp[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-6.066242635851156,
+ 7.046118345915609}, {-9.701519868618796, -3.530070009455368}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.02],
+ Scaled[0.05]}},
+ Ticks->FrontEndValueCache[{
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledTicks[{Log, Exp}]}, {{{-4.605170185988091,
+ FormBox["0.01`", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.3025850929940455`,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.10\"", 0.1, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 2.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {0.,
+ FormBox["1", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.302585092994046,
+ FormBox["10", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.605170185988092,
+ FormBox["100", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.907755278982137,
+ FormBox["1000", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.907755278982137,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.809142990314028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.521460917862246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.298317366548036,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.115995809754082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.961845129926823,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.8283137373023015`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.710530701645918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.506557897319982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.2188758248682006`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.8134107167600364`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.659260036932778,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.5257286443082556`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.4079456086518722`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.2039728043259361`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.916290731874155,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.5108256237659907,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.35667494393873245`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.2231435513142097,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.10536051565782628`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {1.0986122886681098`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {1.3862943611198906`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {1.791759469228055,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {1.9459101490553132`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {2.0794415416798357`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {2.1972245773362196`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {3.4011973816621555`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {3.6888794541139363`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {4.0943445622221,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {4.248495242049359,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {4.382026634673881,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {4.499809670330265,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {5.298317366548036,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {5.703782474656201,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {5.991464547107982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {6.396929655216146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {6.551080335043404,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {6.684611727667927,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {6.802394763324311,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {7.600902459542082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {8.006367567650246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {8.294049640102028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {8.517193191416238,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {8.699514748210191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {8.85366542803745,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {8.987196820661973,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.104979856318357,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.210340371976184,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.305650551780507,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.392661928770137,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.472704636443673,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.546812608597396,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.615805480084347,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.680344001221918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}}, {{-9.210340371976182,
+ FormBox[
+ TemplateBox[{"\[Times]", "\"\[Times]\"", "1.`",
+ TemplateBox[{"10",
+ RowBox[{"-", "4"}]}, "Superscript", SyntaxForm ->
+ SuperscriptBox]}, "RowWithSeparators"], TraditionalForm], {0.01,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.600902459542082,
+ FormBox[
+ TemplateBox[{"\[Times]", "\"\[Times]\"", "5.`",
+ TemplateBox[{"10",
+ RowBox[{"-", "4"}]}, "Superscript", SyntaxForm ->
+ SuperscriptBox]}, "RowWithSeparators"], TraditionalForm], {0.01,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.907755278982137,
+ FormBox["0.001`", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.298317366548036,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.005\"", 0.005, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.605170185988091,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.010\"", 0.01, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.903487552536127,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.721165995742174,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.567015315914915,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.433483923290392,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.315700887634009,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-8.517193191416238,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-8.111728083308073,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.824046010856292,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.418580902748128,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.264430222920869,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.1308988302963465`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.013115794639964,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.809142990314028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.521460917862246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.115995809754082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.961845129926823,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.8283137373023015`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.710530701645918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.506557897319982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.2188758248682006`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.8134107167600364`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.659260036932778,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.5257286443082556`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.4079456086518722`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.3025850929940455`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.8971199848858813`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.3862943611198906`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}}}]]], "Output",
+ CellChangeTimes->{{3.714231218528014*^9, 3.714231255059382*^9}, {
+ 3.7142313035157547`*^9, 3.714231308612544*^9}, 3.714231351814006*^9,
+ 3.714235201839225*^9, 3.714235263324795*^9, 3.714237651648513*^9,
+ 3.7142383916598387`*^9, 3.714239403751025*^9, 3.714309211850031*^9,
+ 3.714309261042088*^9, 3.714309732210947*^9, 3.714310154972595*^9,
+ 3.71431023276715*^9, 3.714310694480132*^9, 3.714310740933058*^9,
+ 3.714393602935491*^9, 3.714393680229053*^9, 3.714393739396183*^9,
+ 3.714393770144878*^9, 3.714393800313098*^9, 3.714806781373952*^9,
+ 3.714807455026805*^9, 3.714808697123797*^9, 3.714809086773779*^9,
+ 3.714830497321988*^9, {3.714831620188748*^9, 3.7148316289374733`*^9},
+ 3.7148317985123463`*^9, 3.714833059002692*^9, 3.71483505932909*^9,
+ 3.714835112635359*^9, 3.714836052389894*^9, {3.714836320367176*^9,
+ 3.714836330124798*^9}, 3.7148363927162323`*^9, 3.714837433922267*^9, {
+ 3.714837726830574*^9, 3.714837753898795*^9}, 3.714839493280683*^9, {
+ 3.714839926507812*^9, 3.714840015177497*^9}, 3.714840754831308*^9,
+ 3.7148626520471*^9, 3.714862703968164*^9, 3.71486274283499*^9, {
+ 3.714863057019565*^9, 3.714863064309969*^9}, 3.714863178562976*^9,
+ 3.714865816451881*^9, 3.714865877028667*^9, 3.714865940494349*^9,
+ 3.7148661019375687`*^9, {3.714906904061393*^9, 3.71490696741742*^9},
+ 3.714907213558223*^9, 3.7149929505746737`*^9, 3.71499382213667*^9,
+ 3.71499859704425*^9, 3.715381792448391*^9, 3.7153826229158173`*^9,
+ 3.7154125175849867`*^9},ExpressionUUID->"a27b006c-32ed-441d-bb0d-\
+6011fd9e9f4a"]
+}, Open ]],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"i1", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{"i", ",", "1"}], "]"}], "]"}], "+",
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{
+ RowBox[{"i", "+", "1"}], ",", "1"}], "]"}], "]"}]}], ")"}],
+ "/", "2"}], ",",
+ RowBox[{
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{
+ RowBox[{"i", "+", "1"}], ",", "1"}], "]"}], "]"}], "-",
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{"i", ",", "1"}], "]"}], "]"}]}], ")"}],
+ RowBox[{
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{"i", ",", "2"}], "]"}], "]"}], "+",
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{
+ RowBox[{"i", "+", "1"}], ",", "2"}], "]"}], "]"}]}], ")"}],
+ "/", "2"}]}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"i", ",", "1", ",",
+ RowBox[{
+ RowBox[{"Length", "[", "#", "]"}], "-", "1"}]}], "}"}]}], "]"}],
+ "&"}], "@",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[", "1", "]"}], "]"}], ",",
+ RowBox[{
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "2"], "-", "1"}], ")"}],
+ RowBox[{"7", "/", "4"}]],
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]f", "[",
+ RowBox[{"A", ",", "B", ",", "h0", ",", "\[Theta]c", ",",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}],
+ "[",
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "]"}]}], "/.", "sol2"}]}], "}"}],
+ "&"}], "/@", "func"}], ")"}]}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.714253437402265*^9, 3.7142534724354877`*^9}, {
+ 3.714253563304009*^9, 3.714253722476042*^9}, {3.714254476615617*^9,
+ 3.71425448002065*^9}, {3.71425510271012*^9, 3.714255104325654*^9}, {
+ 3.714393591583131*^9, 3.714393604335699*^9}, {3.714831650720236*^9,
+ 3.7148316512479*^9}, {3.714907226585177*^9,
+ 3.7149072267446632`*^9}},ExpressionUUID->"d6b8c89a-a3b9-4e30-b7cd-\
+5b974a88b162"],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"i2", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"0", ",", "\[ScriptCapitalM]0"}], "}"}], "+",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{"i", ",", "1"}], "]"}], "]"}], ",",
+ RowBox[{"Total", "[",
+ RowBox[{"Take", "[",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{"All", ",", "2"}], "]"}], "]"}], ",",
+ RowBox[{"i", "-", "1"}]}], "]"}], "]"}]}], "}"}]}], ",",
+ RowBox[{"{",
+ RowBox[{"i", ",", "1", ",",
+ RowBox[{"Length", "[", "#", "]"}]}], "}"}]}], "]"}], "&"}], "@",
+ "i1"}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.714253865375753*^9, 3.714253984848627*^9}, {
+ 3.714254040978917*^9,
+ 3.7142540416013527`*^9}},ExpressionUUID->"7b49aa4d-971d-4f27-8504-\
+e3da44463eae"],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Show", "[",
+ RowBox[{
+ RowBox[{"ListPlot", "[",
+ RowBox[{"i2", ",",
+ RowBox[{"Joined", "\[Rule]", "True"}], ",",
+ RowBox[{"PlotRange", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"0", ",", "1300"}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"1.22", ",", "1.75"}], "}"}]}], "}"}]}], ",",
+ RowBox[{"PlotStyle", "\[Rule]", "Red"}]}], "]"}], ",",
+ RowBox[{"ListPlot", "[",
+ RowBox[{"mag", ",",
+ RowBox[{"PlotRange", "\[Rule]", "All"}]}], "]"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.714253985859055*^9, 3.714254037488164*^9}, {
+ 3.714254070388905*^9, 3.714254079756922*^9}, {3.7142541248098183`*^9,
+ 3.7142541467481003`*^9}, {3.7142545552141523`*^9, 3.714254573165605*^9}, {
+ 3.714254614496805*^9,
+ 3.714254694969582*^9}},ExpressionUUID->"18b3cc6d-6bb0-45ea-975f-\
+42b781072e2d"],
+
+Cell[BoxData[
+ GraphicsBox[{{{}, {{{}, {}, {
+ {RGBColor[1, 0, 0], PointSize[0.007333333333333334], AbsoluteThickness[
+ 1.6], LineBox[CompressedData["
+1:eJwdl3c8Vu//x+1RZI+MbFmlhKy837JKVkaUJlFm0iRKpSEVkllpIZUVDQ3x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+
+ "]]}}}}, {}, {}, {{}, {}}, {{}, {}}}, {{}, {{{}, {
+ {RGBColor[0.368417, 0.506779, 0.709798], PointSize[
+ 0.0055000000000000005`], AbsoluteThickness[1.6],
+ PointBox[CompressedData["
+1:eJw9l3k4Vd37xilK9cqYBiWJkClDpfFZhjJlSBIqISWFzDIWGUPGRMkYEqXI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+
+ "]]}}, {}}}, {}, {}, {{}, {}}, {{}, {}}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 1.22265},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{"CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{0, 1300}, {1.22, 1.75}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{0, 0}, {0, 0}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{{3.71425398741232*^9, 3.714254043061407*^9},
+ 3.714254073991085*^9, {3.714254126500804*^9, 3.714254147404223*^9},
+ 3.7142544887654533`*^9, {3.714254561554706*^9, 3.714254573654634*^9}, {
+ 3.7142546289379387`*^9, 3.714254695623906*^9}, 3.7142551123343973`*^9,
+ 3.714393618323738*^9, 3.714393685657749*^9, 3.7143937466169157`*^9, {
+ 3.714393777573605*^9, 3.714393805653455*^9}, 3.714806784231585*^9,
+ 3.7148074572881613`*^9, 3.7148087044817057`*^9, 3.714809089134021*^9,
+ 3.714830502018724*^9, {3.714831646222509*^9, 3.714831657107243*^9},
+ 3.714831800997868*^9, 3.714833060836087*^9, 3.714835117877409*^9,
+ 3.714836054773896*^9, 3.714836332648387*^9, 3.7148363942998753`*^9,
+ 3.714837437799202*^9, {3.7148377294221067`*^9, 3.714837756637006*^9},
+ 3.7148395004069643`*^9, {3.714839931209778*^9, 3.714839993182786*^9},
+ 3.714840024665745*^9, 3.714840757038682*^9, 3.714862653542861*^9,
+ 3.714862705599581*^9, 3.714862745224374*^9, 3.714863066907661*^9,
+ 3.714863181424206*^9, 3.7148658178761387`*^9, 3.714865878050193*^9,
+ 3.714865942261485*^9, 3.7148661039636803`*^9, {3.714906907358387*^9,
+ 3.714906969499626*^9}, 3.71490723455093*^9, 3.714992966088601*^9,
+ 3.714993834078186*^9, 3.7149986043129787`*^9, 3.715381793707883*^9,
+ 3.715382626011362*^9,
+ 3.715412519764538*^9},ExpressionUUID->"d156f278-5156-430a-9440-\
+d05676d07976"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"ysave2", "=",
+ RowBox[{"ysave", "/.", "sol2"}]}]], "Input",
+ CellChangeTimes->{{3.71475673834993*^9, 3.714756740732313*^9}, {
+ 3.7147569906872168`*^9, 3.714756991974579*^9}, {3.714806857716696*^9,
+ 3.714806857835928*^9}, {3.714807724394093*^9, 3.714807731714316*^9}, {
+ 3.714808955303514*^9, 3.714808965343062*^9}, {3.714809205035985*^9,
+ 3.714809220747414*^9}, {3.714862687354288*^9, 3.714862687465536*^9}, {
+ 3.714907237151805*^9,
+ 3.714907237239294*^9}},ExpressionUUID->"da020005-5c91-4bb8-887c-\
+1d4380b5f69e"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{
+ "1.375316580431459`", ",", "10.649177473652802`", ",", "337.195929123313`",
+ ",", "13338.743159203557`", ",", "633187.3388260966`", ",",
+ "3.506681190622563`*^7", ",", "2.2194854020000916`*^9", ",",
+ "1.580377450816655`*^11", ",", "1.2503363191000994`*^13", ",",
+ "1.0881419505563236`*^15", ",", "1.0330773072576611`*^17", ",",
+ "1.0625324349859461`*^19"}], "}"}]], "Output",
+ CellChangeTimes->{
+ 3.7147567410585213`*^9, 3.714756992546587*^9, 3.714806728712491*^9,
+ 3.7148068581872396`*^9, 3.714807676119606*^9, {3.714807724872628*^9,
+ 3.714807733016789*^9}, {3.71480895607714*^9, 3.714808965653799*^9}, {
+ 3.714809205674447*^9, 3.7148092210457706`*^9}, 3.714830981700396*^9,
+ 3.714836844657175*^9, 3.7148626548087378`*^9, {3.714862687908442*^9,
+ 3.714862706469153*^9}, 3.714862746153159*^9, 3.714863067708495*^9,
+ 3.714863182871723*^9, 3.714865818728653*^9, 3.714865878213573*^9,
+ 3.7148659430201674`*^9, 3.714866105039057*^9, {3.7149069103027678`*^9,
+ 3.714906970443513*^9}, 3.7149072376029587`*^9, 3.714992967875737*^9,
+ 3.7149938352479343`*^9, 3.7149986054948063`*^9, 3.7153817961762877`*^9,
+ 3.715382640057742*^9,
+ 3.7154125224860086`*^9},ExpressionUUID->"06e82a65-ddd7-45f4-be4d-\
+0b3845acb813"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"yy2", "=",
+ RowBox[{
+ RowBox[{"yy1", "/.",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"Derivative", "[", "m_", "]"}], "[", "y", "]"}], "[", "_",
+ "]"}], "\[RuleDelayed]",
+ RowBox[{
+ RowBox[{"m", "!"}],
+ RowBox[{"ysave2", "[",
+ RowBox[{"[",
+ RowBox[{"m", "+", "1"}], "]"}], "]"}]}]}], ",",
+ RowBox[{
+ RowBox[{"y", "[", "x_", "]"}], "\[RuleDelayed]",
+ RowBox[{"ysave2", "[",
+ RowBox[{"[", "1", "]"}], "]"}]}]}], "}"}]}], "/.",
+ "sol2"}]}]], "Input",
+ CellChangeTimes->{{3.714757114551498*^9, 3.714757118639502*^9}, {
+ 3.714806862165043*^9, 3.714806862268298*^9}, {3.714807726915642*^9,
+ 3.7148077351230183`*^9}, {3.714808950711543*^9, 3.714808968350219*^9}, {
+ 3.7148092086525373`*^9, 3.714809223996085*^9}, {3.7148626846920967`*^9,
+ 3.71486268497886*^9}, {3.714907240520297*^9,
+ 3.714907240607555*^9}},ExpressionUUID->"03dc4111-b9dd-47fc-9035-\
+d84fc2a5c657"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{"0.025536974521879207`", ",",
+ RowBox[{"-", "0.01762155820793826`"}], ",", "0.01681987670730995`", ",",
+ RowBox[{"-", "0.018814565927230777`"}], ",", "0.02318633276267007`", ",",
+ RowBox[{"-", "0.0306005114760245`"}], ",", "0.04264499878327929`"}],
+ "}"}]], "Output",
+ CellChangeTimes->{
+ 3.71477901399013*^9, 3.71480673059066*^9, 3.714806862701418*^9,
+ 3.714807677595922*^9, {3.714807727445833*^9, 3.7148077355298643`*^9}, {
+ 3.714808951442019*^9, 3.7148089686904917`*^9}, {3.714809209175044*^9,
+ 3.7148092244041224`*^9}, 3.714830983386425*^9, 3.714836848165016*^9,
+ 3.7148626556760397`*^9, {3.714862688599277*^9, 3.714862707425961*^9},
+ 3.714862747017076*^9, 3.714863068275054*^9, 3.714863184422464*^9,
+ 3.7148658196662607`*^9, 3.714865878928565*^9, 3.714865943943626*^9,
+ 3.7148661057452383`*^9, {3.714906910760145*^9, 3.71490697150111*^9},
+ 3.714907241156765*^9, 3.714992970455934*^9, 3.714993837416197*^9,
+ 3.714998606097374*^9, 3.715381798437476*^9, 3.715382642291196*^9,
+ 3.715412524983698*^9},ExpressionUUID->"6c6bf531-ba47-453b-a72f-\
+454c251472d7"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"ListLogPlot", "[",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"Abs", "[",
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"#",
+ RowBox[{"(",
+ RowBox[{"#", "+", "1"}], ")"}]}], "&"}], "/@",
+ RowBox[{"Range", "[", "7", "]"}]}], ")"}]}],
+ RowBox[{
+ RowBox[{"Gs2Cs", "[", "Gls", "]"}], "[",
+ RowBox[{"[",
+ RowBox[{"2", ";;"}], "]"}], "]"}]}], "]"}], ",",
+ RowBox[{"Abs", "[", "yy2", "]"}], ",",
+ RowBox[{"Abs", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]series", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ",", "B"}], "]"}], "[", "#",
+ "]"}], "&"}], "/@",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"Range", "[", "7", "]"}], "-", "1"}], ")"}]}], "]"}]}], "}"}],
+ "]"}]], "Input",
+ CellChangeTimes->{{3.714397130193721*^9, 3.714397156754507*^9}, {
+ 3.714401420222559*^9, 3.714401435542419*^9}, {3.7147400059859953`*^9,
+ 3.714740013317212*^9}, {3.714779019835246*^9, 3.7147790236354313`*^9}, {
+ 3.7148070307042303`*^9, 3.714807066415826*^9}, {3.7154125443588943`*^9,
+ 3.715412545198742*^9}},ExpressionUUID->"c32f25a4-7a80-4c63-8b1a-\
+2597dcbbcf5f"],
+
+Cell[BoxData[
+ GraphicsBox[{{{}, {{{}, {
+ {RGBColor[0.368417, 0.506779, 0.709798], PointSize[
+ 0.012833333333333334`], AbsoluteThickness[1.6],
+ PointBox[{{1., -3.667627895775339}, {2., -4.038632228335453}, {
+ 3., -4.019549486272981}, {4., -3.7580558967360895`}, {
+ 5., -3.3147273608071934`}, {6., -2.722685060186863}, {
+ 7., -2.0035229726701376`}}]}}, {
+ {RGBColor[0.880722, 0.611041, 0.142051], PointSize[
+ 0.012833333333333334`], AbsoluteThickness[1.6],
+ PointBox[{{1., -3.667627895775339}, {2., -4.038632228335454}, {
+ 3., -4.08519395458846}, {4., -3.973123925772583}, {
+ 5., -3.7641922789577604`}, {6., -3.486738555260733}, {
+ 7., -3.154845273742445}}]}}, {
+ {RGBColor[0.560181, 0.691569, 0.194885], PointSize[
+ 0.012833333333333334`], AbsoluteThickness[1.6],
+ PointBox[{{1., -3.6676278957753397`}, {2., -4.646668183716103}, {
+ 3., -5.338026399205085}, {4., -5.806241063379858}, {
+ 5., -6.0921341707606755`}, {6., -6.223876598314235}, {
+ 7., -6.222087633243273}}]}}, {}}}, {}, {}, {{}, {}}, {{}, {}}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0., -6.530192587272277},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledFrameTicks[{Log, Exp}]}, {Automatic, Automatic}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{"CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Exp[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Exp[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{0., 7.}, {-6.458340688627797, -2.0035229726701376`}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.02],
+ Scaled[0.05]}},
+ Ticks->FrontEndValueCache[{Automatic,
+ Charting`ScaledTicks[{Log, Exp}]}, {Automatic, {{-5.298317366548036,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.005\"", 0.005, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.605170185988091,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.010\"", 0.01, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.995732273553991,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.050\"", 0.05, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.3025850929940455`,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.100\"", 0.1, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.907755278982137,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.809142990314028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.521460917862246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.115995809754082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.961845129926823,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.8283137373023015`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.710530701645918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.506557897319982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.2188758248682006`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.8134107167600364`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.659260036932778,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.5257286443082556`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.4079456086518722`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.2039728043259361`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.916290731874155,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.5108256237659907,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.35667494393873245`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.2231435513142097,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.10536051565782628`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {0.,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {0.4054651081081644,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}}}]]], "Output",
+ CellChangeTimes->{
+ 3.714740013729273*^9, {3.714779016092326*^9, 3.714779023940958*^9},
+ 3.714806732903997*^9, 3.7148068647733803`*^9, 3.714807066951405*^9,
+ 3.714807678966655*^9, {3.7148077287353783`*^9, 3.7148077379434757`*^9}, {
+ 3.7148089588534193`*^9, 3.714808970053562*^9}, {3.7148092108135777`*^9,
+ 3.714809225878975*^9}, 3.714830983950481*^9, 3.714836849826356*^9,
+ 3.714862656238078*^9, {3.7148626890323343`*^9, 3.7148627076539173`*^9},
+ 3.714862747461363*^9, 3.714863068753543*^9, 3.7148631864026203`*^9,
+ 3.7148658203011723`*^9, 3.7148658793114023`*^9, 3.714865944416368*^9,
+ 3.714866106227007*^9, {3.7149069109587317`*^9, 3.714906972088879*^9},
+ 3.7149072417429943`*^9, 3.714992971237383*^9, 3.714993837718052*^9,
+ 3.71499860634844*^9, 3.7153817984709*^9, 3.715382642331382*^9, {
+ 3.7154125250315866`*^9,
+ 3.715412545701928*^9}},ExpressionUUID->"4944905d-0606-4706-a4d9-\
+9397790b4034"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"sol3", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"FindRoot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"eqsl", "[",
+ RowBox[{"[",
+ RowBox[{";;", "3"}], "]"}], "]"}], ",",
+ RowBox[{"eqsm", "[",
+ RowBox[{"[",
+ RowBox[{";;", "3"}], "]"}], "]"}], ",",
+ RowBox[{"eqsh", "[",
+ RowBox[{"[",
+ RowBox[{";;", "2"}], "]"}], "]"}]}], "]"}], "/.", "#"}], ",",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"A", ",",
+ RowBox[{"A", "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"h0", ",",
+ RowBox[{"h0", "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], ",", "0"}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], ",", "0"}], "}"}]}], "}"}], "+",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"0", ",",
+ RowBox[{"0.5",
+ RowBox[{"RandomReal", "[",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"-", "1"}], ",", "1"}], "}"}], "]"}]}]}], "}"}],
+ "&"}], "/@",
+ RowBox[{"Range", "[", "8", "]"}]}], ")"}]}], ",",
+ RowBox[{"MaxIterations", "\[Rule]", "5000"}]}], "]"}], ",", "#"}],
+ "]"}], "&"}], "@",
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"\[Theta]c", "\[Rule]",
+ RowBox[{"(",
+ RowBox[{"\[Theta]c", "/.", "sol1"}], ")"}]}], ",",
+ RowBox[{"\[Lambda]", "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}]}], "}"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"a", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "5", ",", "14"}], "}"}]}], "]"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"b", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "2", ",", "4"}], "}"}]}], "]"}]}],
+ "]"}]}]}]], "Input",
+ CellChangeTimes->CompressedData["
+1:eJwdyjtIQgEARmHTDIOWcAhxSoeCLExIGnQQyx5WhJQOCYkogYM5pJmvCMXo
+gVJDBdpgVFBkCOagiENBkFnjjcAkyYLQBlHJgqD7Oxy+5XRqFxV6KoVCYZPB
+uiT+tRIuSm4O36rwVjHWbCMVCqQMyLpIt8G96DYTHvH+9mGLeSYIq/lSFIYs
+3hhUEq0iO+mQ0Ndwh/UxDa0dQQXs+vkWOUhTx7PjcJigq2CdI1NDR8xthnK5
+xg+TB4/3MNLnfGp8/eUsJMzKFzgYn8vDCUalAAu1TBlKw/wKVFfTNCepaPma
+Dj/ZXBUc5erm4e7vuw6u8Y0mmFQlrDCgJzxQEggloI8pTsJUbcDugkalE06d
+0j1wVfDa0KJxb0A1VbsJr2TnhjvS3EP3Eswu8Lyw6cTth7Yz0zOk6jk5GGl3
+9WRItaV4Q8MWbWT9sijR9Yon4T/9lu1a
+
+ "],ExpressionUUID->"1424ff20-6033-4ed3-b705-d151fae88968"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"A", "\[Rule]", "165.05568998446134`"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "\[Rule]", "0.9007271215380058`"}], ",",
+ RowBox[{"h0", "\[Rule]", "1.1498407282006113`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "\[Rule]", "7228.264529733373`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "\[Rule]",
+ RowBox[{"-", "19659.4990262475`"}]}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "\[Rule]",
+ RowBox[{"-", "1.2624131878672236`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "\[Rule]", "17857.27246402202`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], "\[Rule]",
+ RowBox[{"-", "5416.427833562538`"}]}], ",",
+ RowBox[{"\[Theta]c", "\[Rule]", "1.055`"}], ",",
+ RowBox[{"\[Lambda]", "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "6", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "7", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "8", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "9", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "10", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "11", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "12", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "13", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "14", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "3", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "4", "]"}], "\[Rule]", "0"}]}], "}"}]], "Output",
+ CellChangeTimes->{
+ 3.7148375429850807`*^9, {3.714837730361244*^9, 3.714837764393299*^9},
+ 3.7148379863742723`*^9, 3.714838712807025*^9, {3.714839508191394*^9,
+ 3.714839531066626*^9}, 3.7148395921397467`*^9, 3.714839635400715*^9,
+ 3.71484003503824*^9, 3.714840757905115*^9, {3.71499322123387*^9,
+ 3.714993277424842*^9}, 3.714993906223276*^9, {3.714993955002427*^9,
+ 3.7149939640659637`*^9}, 3.7149940034998426`*^9, {3.714998618181664*^9,
+ 3.7149986348917837`*^9}, 3.714998688483469*^9, 3.7149987286783533`*^9, {
+ 3.715381858848815*^9, 3.715381866546755*^9}, {3.7153819228608637`*^9,
+ 3.7153819277030773`*^9}},ExpressionUUID->"7d38b322-672b-4866-a13f-\
+aa0e79f17236"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], "[", "\[Theta]",
+ "]"}], "/.", "sol3"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "0", ",",
+ RowBox[{"\[Theta]c", "/.", "sol3"}]}], "}"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.714237194433928*^9, 3.714237249207802*^9}, {
+ 3.714808243331522*^9, 3.714808245322274*^9}, {3.714837252376389*^9,
+ 3.7148372526476994`*^9}, {3.714993287664439*^9, 3.714993292931672*^9}, {
+ 3.715382041308226*^9,
+ 3.7153820494752007`*^9}},ExpressionUUID->"1e22c783-e918-412d-aaf2-\
+2c5ac92c2176"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{}, {},
+ TagBox[{
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6],
+ Opacity[1.], LineBox[CompressedData["
+1:eJwdl3c4lf//x5MyMkIoMlIZhQiZ5fWWRKJPyQoVZWRknRMSKXuvrDJCZZMR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+ "]]}},
+ Annotation[#, "Charting`Private`Tag$61788#1"]& ]}}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{0, 1.055}, {0., 335.44901962460426`}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.714237249652542*^9, 3.714237674457458*^9, 3.714238002214877*^9,
+ 3.714238548204287*^9, 3.7142395741313543`*^9, 3.714310570543141*^9,
+ 3.714310623124496*^9, 3.714311017345689*^9, 3.7148082455844183`*^9,
+ 3.7148087394536057`*^9, 3.714808790219943*^9, 3.714809137463914*^9, {
+ 3.714830783818783*^9, 3.71483083339424*^9}, 3.7148308849707937`*^9, {
+ 3.714833119603292*^9, 3.714833137091063*^9}, {3.714833216896283*^9,
+ 3.71483323718008*^9}, 3.71483517815341*^9, {3.7148353817008543`*^9,
+ 3.7148354101922207`*^9}, {3.7148354850314198`*^9,
+ 3.7148354991762247`*^9}, {3.71483605782858*^9, 3.714836072392943*^9},
+ 3.714836335978918*^9, 3.71483652907364*^9, {3.714837246343439*^9,
+ 3.714837252902101*^9}, 3.714837310164729*^9, 3.714837545315074*^9, {
+ 3.714837730776862*^9, 3.714837765174131*^9}, 3.714837988177272*^9,
+ 3.714839534940205*^9, 3.714839592912971*^9, 3.714839636267261*^9,
+ 3.714840035800082*^9, 3.714840758409679*^9, {3.7149932799586763`*^9,
+ 3.7149932935863247`*^9}, 3.715381868105653*^9, 3.715381929995557*^9, {
+ 3.7153820419103518`*^9, 3.7153820497622433`*^9},
+ 3.715410873606743*^9},ExpressionUUID->"58d556d0-a5c5-48a5-bfb0-\
+721a371f278e"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]f", "[",
+ RowBox[{"A", ",", "B", ",", "h0", ",", "\[Theta]c", ",",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}], "[",
+ "\[Theta]", "]"}], "/.", "sol3"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Theta]c"}], "-", ".1"}], "/.", "sol3"}], ",",
+ RowBox[{
+ RowBox[{"\[Theta]c", "+", ".1"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"GridLines", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"\[Theta]c", "/.", "sol3"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Theta]c"}], "/.", "sol3"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}]}], "}"}], ",",
+ "None"}], "}"}]}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7137866834085007`*^9, 3.713786686617977*^9}, {
+ 3.7137867217375593`*^9, 3.713786743847704*^9}, {3.7137868182565737`*^9,
+ 3.713786841939253*^9}, {3.713786924527413*^9, 3.713786974385107*^9}, {
+ 3.713787042137055*^9, 3.713787053211768*^9}, {3.7137870901431293`*^9,
+ 3.713787090608033*^9}, {3.713787341082642*^9, 3.713787364514805*^9}, {
+ 3.713787741825034*^9, 3.713787770799416*^9}, {3.713787886687026*^9,
+ 3.7137878869430323`*^9}, {3.7137888266494427`*^9, 3.713788842463161*^9}, {
+ 3.713792007167099*^9, 3.713792021787199*^9}, 3.7137922767568893`*^9, {
+ 3.7137944309219913`*^9, 3.713794435906933*^9}, {3.713796878199485*^9,
+ 3.713796887244006*^9}, {3.713796921081345*^9, 3.713796926722969*^9},
+ 3.7137971807617273`*^9, {3.713827438749836*^9, 3.7138274538037987`*^9}, {
+ 3.713827581712484*^9, 3.713827582113104*^9}, {3.714130909227974*^9,
+ 3.714130935160301*^9}, {3.7141311982159986`*^9, 3.714131202051044*^9}, {
+ 3.7141312471974087`*^9, 3.714131274688491*^9}, {3.714131759254038*^9,
+ 3.714131761606591*^9}, {3.714132524986391*^9, 3.7141325265937033`*^9}, {
+ 3.7141337230994473`*^9, 3.714133746090554*^9}, {3.7141354101671886`*^9,
+ 3.714135444491536*^9}, {3.7141356514052277`*^9, 3.7141357777377653`*^9}, {
+ 3.714136651714642*^9, 3.714136680207863*^9}, {3.714137789157419*^9,
+ 3.714137796981852*^9}, 3.7141379430983753`*^9, {3.714138196842355*^9,
+ 3.714138197292419*^9}, {3.714222654297492*^9, 3.7142226816813173`*^9}, {
+ 3.7142241379694967`*^9, 3.714224153092409*^9}, {3.714230783232278*^9,
+ 3.714230783558969*^9}, {3.714230818213155*^9, 3.714230826884192*^9}, {
+ 3.7142341440952263`*^9, 3.714234196383806*^9}, {3.714234229569173*^9,
+ 3.714234230040387*^9}, {3.714235501458014*^9, 3.71423551337781*^9}, {
+ 3.714236994527731*^9, 3.714236994990761*^9}, {3.714237025199979*^9,
+ 3.714237025585266*^9}, {3.714238448421826*^9, 3.714238450287497*^9}, {
+ 3.714239460624751*^9, 3.714239461804504*^9}, 3.7143093152144613`*^9,
+ 3.714309354244766*^9, {3.714993302034452*^9, 3.7149933093567123`*^9}, {
+ 3.714993362593301*^9,
+ 3.714993363190507*^9}},ExpressionUUID->"0b11131a-311e-44d4-9df6-\
+6d33baaffe0f"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{}, {},
+ TagBox[{
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6],
+ Opacity[1.], LineBox[CompressedData["
+1:eJw1mnk0lt/39xFSKKWiDM3ik5BKKDaKJEqmZCgzUTLPSaQkszLPZE6STOEg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+ "]]}},
+ Annotation[#, "Charting`Private`Tag$61830#1"]& ]}}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}},
+ GridLines->{{{1.055, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}, {-1.055, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}}, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-1.155, 1.155}, {-1.709554305590535, 2.819431389309433}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.7137868428211517`*^9, {3.713786876436328*^9, 3.713786880892294*^9}, {
+ 3.713786911917448*^9, 3.713786975636983*^9}, {3.713787043580344*^9,
+ 3.713787054112487*^9}, {3.713787091884612*^9, 3.7137871303293543`*^9}, {
+ 3.7137873441971073`*^9, 3.713787366054718*^9}, {3.713787744869535*^9,
+ 3.713787772248114*^9}, {3.713787873953774*^9, 3.713787896994111*^9}, {
+ 3.7137880959645233`*^9, 3.7137881089961042`*^9}, 3.71378817266117*^9, {
+ 3.713788840185727*^9, 3.713788843629333*^9}, {3.713792008614105*^9,
+ 3.713792022973323*^9}, 3.713792277625108*^9, {3.7137944343878193`*^9,
+ 3.71379443703505*^9}, {3.713796880744809*^9, 3.713796888383353*^9}, {
+ 3.7137969255232973`*^9, 3.713796927819489*^9}, 3.71379718348458*^9, {
+ 3.713827434665268*^9, 3.713827443488235*^9}, 3.713827482758223*^9, {
+ 3.71382758304496*^9, 3.7138276201271763`*^9}, {3.714131200316176*^9,
+ 3.7141312045699244`*^9}, {3.714131254215077*^9, 3.714131277251986*^9},
+ 3.7141317655386057`*^9, {3.714132521860244*^9, 3.714132531422585*^9},
+ 3.714132594800725*^9, {3.714133724809444*^9, 3.714133751537928*^9}, {
+ 3.714135421393217*^9, 3.714135451507843*^9}, {3.71413567570129*^9,
+ 3.7141356998242083`*^9}, {3.714135733025814*^9, 3.7141357840691547`*^9},
+ 3.714136120052483*^9, {3.7141366600281467`*^9, 3.7141366864873533`*^9}, {
+ 3.7141377784504833`*^9, 3.7141377991028967`*^9}, 3.7141379539677057`*^9,
+ 3.714138050818244*^9, {3.714138200060054*^9, 3.7141382064545603`*^9},
+ 3.7142171461980963`*^9, 3.7142188447804413`*^9, 3.714222440250145*^9, {
+ 3.714222657733528*^9, 3.714222683268447*^9}, {3.714224133382696*^9,
+ 3.7142241549538307`*^9}, 3.714225354640583*^9, 3.714225714002556*^9,
+ 3.714227554313645*^9, 3.714227908653563*^9, 3.71422857576338*^9,
+ 3.714228696834524*^9, 3.71422909104809*^9, 3.714229141599442*^9,
+ 3.7142292486960983`*^9, {3.714230780456892*^9, 3.714230785679422*^9}, {
+ 3.7142308157519608`*^9, 3.714230828606016*^9}, 3.7142340780685377`*^9, {
+ 3.714234224600782*^9, 3.714234237139142*^9}, 3.714234307673902*^9,
+ 3.7142348074233837`*^9, 3.714235165607085*^9, {3.714235516500855*^9,
+ 3.714235522453641*^9}, 3.714236139343099*^9, 3.714236584602807*^9, {
+ 3.714236988918172*^9, 3.7142370367497597`*^9}, 3.7142370714636*^9,
+ 3.7142376591520367`*^9, {3.714237870020213*^9, 3.714237895967791*^9}, {
+ 3.714238448121496*^9, 3.714238456602359*^9}, 3.7142394684451733`*^9,
+ 3.714309360427518*^9, 3.714309402834841*^9, 3.7143096565203533`*^9,
+ 3.714309861928784*^9, 3.7143102830457172`*^9, 3.7143103257857637`*^9,
+ 3.714310775319235*^9, 3.714806815543325*^9, 3.714806849086192*^9,
+ 3.714807553716565*^9, 3.714807649722921*^9, {3.714808230981045*^9,
+ 3.714808254462695*^9}, 3.714808793742247*^9, 3.714809138986257*^9,
+ 3.714830785720742*^9, 3.714830886934681*^9, 3.7148331393766127`*^9,
+ 3.7148332410195704`*^9, 3.7148351822386923`*^9, 3.714836338517501*^9,
+ 3.714836531227767*^9, 3.714837257692141*^9, 3.714837313927657*^9,
+ 3.714837548981167*^9, {3.714837733053969*^9, 3.714837766917502*^9},
+ 3.714837990449766*^9, 3.714839543879813*^9, 3.714839594809494*^9,
+ 3.714839638140133*^9, 3.7148400373705463`*^9, 3.714840760440144*^9, {
+ 3.714993310800767*^9, 3.714993323253458*^9}, 3.714993372215808*^9,
+ 3.715381870150674*^9, 3.715381931988196*^9,
+ 3.715410875736693*^9},ExpressionUUID->"f6e42918-3343-478b-8fab-\
+98ac2391f3eb"]
+}, Open ]],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"func3", "=",
+ RowBox[{"Sort", "@",
+ RowBox[{"(",
+ RowBox[{"Reverse", "/@",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{"h0", " ",
+ RowBox[{
+ RowBox[{
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], "[",
+ "\[Theta]", "]"}], "/",
+ SuperscriptBox[
+ RowBox[{"Abs", "[",
+ RowBox[{"1", "-",
+ SuperscriptBox["\[Theta]", "2"]}], "]"}],
+ "\[CapitalDelta]"]}]}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "1.00001", ",",
+ RowBox[{
+ RowBox[{"\[Theta]c", "-", "0.00001"}], "/.", "sol3"}], ",",
+ "0.00001"}], "}"}]}], "]"}]}], ")"}]}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.7142298098317432`*^9, 3.7142298123326817`*^9}, {
+ 3.7142298482193117`*^9, 3.714229970202634*^9}, {3.714230013902568*^9,
+ 3.714230017096983*^9}, {3.7142301874072742`*^9, 3.714230189981579*^9}, {
+ 3.7142343285785217`*^9, 3.71423437965909*^9}, {3.714234573883163*^9,
+ 3.7142345750236673`*^9}, {3.7142355328610573`*^9, 3.714235539168882*^9}, {
+ 3.71483756248528*^9, 3.714837563468934*^9}, {3.7148396198220043`*^9,
+ 3.71483962069382*^9}, {3.714993381843835*^9, 3.7149933950922737`*^9}, {
+ 3.7154110172935543`*^9,
+ 3.715411054670883*^9}},ExpressionUUID->"a99c4b04-e2fc-47f6-b2d9-\
+7e135d28c474"],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Show", "[",
+ RowBox[{
+ RowBox[{"ListLogLogPlot", "[", "sus", "]"}], ",",
+ RowBox[{"ListLogLogPlot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[", "1", "]"}], "]"}], ",",
+ RowBox[{
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "2"], "-", "1"}], ")"}],
+ RowBox[{"7", "/", "4"}]],
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]f", "[",
+ RowBox[{"A", ",", "B", ",", "h0", ",", "\[Theta]c", ",",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}],
+ "[",
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "]"}]}], "/.", "sol3"}]}], "}"}],
+ "&"}], "/@", "func3"}], ",",
+ RowBox[{"Joined", "\[Rule]", "True"}], ",",
+ RowBox[{"PlotStyle", "\[Rule]", "Green"}]}], "]"}], ",",
+ RowBox[{"LogLogPlot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ",", "B"}], "]"}], "[", "X",
+ "]"}], ",",
+ RowBox[{"{",
+ RowBox[{"X", ",", "0.001", ",", "1000"}], "}"}], ",",
+ RowBox[{"PlotStyle", "\[Rule]", "Red"}]}], "]"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7142246940999937`*^9, 3.714224710004931*^9}, {
+ 3.714224744516198*^9, 3.714224747961575*^9}, {3.714228221815777*^9,
+ 3.714228223946136*^9}, {3.7142301107700567`*^9, 3.714230113937529*^9}, {
+ 3.714231178048731*^9, 3.714231307094479*^9}, {3.71423525344033*^9,
+ 3.714235256372561*^9}, {3.714235546552792*^9, 3.714235548823525*^9}, {
+ 3.714807568353258*^9, 3.714807568561453*^9}, {3.7148331605531673`*^9,
+ 3.7148331610481462`*^9}, {3.714993392582136*^9, 3.714993397619061*^9}, {
+ 3.715411038198708*^9, 3.715411063798061*^9}, {3.715411117095385*^9,
+ 3.715411117619063*^9}},ExpressionUUID->"4e74b80b-977c-430d-ab65-\
+c2516c21c4d2"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{}, {{{}, {
+ {RGBColor[0.368417, 0.506779, 0.709798], PointSize[
+ 0.0055000000000000005`], AbsoluteThickness[1.6],
+ PointBox[CompressedData["
+1:eJwVl3k8FW8bxq3Hdubsx7GTrUiorIkZ0mJNJLRIKipUWixtRKEUUZbKD6Gy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+
+ "]]}}, {}}}, {}, {}, {{}, {}}, {{}, {}}}}, {{{}, {{{}, {}, {
+ {RGBColor[0, 1, 0], PointSize[0.002777777777777778],
+ AbsoluteThickness[1.6], LineBox[CompressedData["
+1:eJwUV3c8V+8Xt5L1Gfd+Iqs0qFQyKqvyiCgVCqFUCtGwIqSSVcqqUL5EUWRT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+
+ "]]}}}}, {}, {}, {{}, {}}, {{}, {}}}}, {{{{}, {},
+ TagBox[{
+ {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.],
+ LineBox[CompressedData["
+1:eJwV13c8lW8UAHB732Vcd5RVSQNZWclNGamUFEIyMqPISpFSyEgUKRpWRElR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+ "]],
+ LineBox[CompressedData["
+1:eJwBgQF+/iFib1JlAgAAABcAAAACAAAAlyAZrU5KGkCxgSnemrshwMOutnul
+bxpAIggrZBXOIcA+FuN7gHQaQCr8p6h80CHAM+U7fDZ+GkBh2hxHS9UhwB6D
+7XyikRpARxgV2ejeIcDzvlB+ergaQNyUb0ol8iHAnjYXgSoGG0CqT+4toxgi
+wBmeQ4EFCxtAkXjSQgsbIsCUBXCB4A8bQC5q+l1zHSLAidTIgZYZG0DBrvmm
+QyIiwHRyeoICLRtAgIrzguQrIsBJrt2D2lMbQB++2lwnPyLAxBUKhLVYG0A4
+V8PSj0EiwD59NoSQXRtAwRyDTvhDIsA0TI+ERmcbQEP+bVfJSCLAHupAhbJ6
+G0DzVTuua1IiwJlRbYWNfxtAklwnUtRUIsAUuZmFaIQbQNXktvs8VyLACYjy
+hR6OG0BdJqdfDlwiwITvHob5khtAf0b7GXdeIsD+VkuG1JcbQO+12dnfYCLA
+eb53hq+cG0DQODyfSGMiwPQlpIaKoRtA5JkcarFlIsCs8KOS
+ "]]}},
+ Annotation[#,
+ "Charting`Private`Tag$65892#1"]& ], {}}, {{}, {}, {}}}, {}, {}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{-6.271123276191262, -9.801059382476273},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledFrameTicks[{Log, Exp}]}, {
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledFrameTicks[{Log, Exp}]}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ ImageSize->{446., Automatic},
+ Method->{"CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Exp[#]& )[
+ Part[#, 1]],
+ (Exp[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Exp[#]& )[
+ Part[#, 1]],
+ (Exp[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-6.066242635851156,
+ 7.046118345915609}, {-9.701519868618796, -3.530070009455368}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.02],
+ Scaled[0.05]}},
+ Ticks->FrontEndValueCache[{
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledTicks[{Log, Exp}]}, {{{-4.605170185988091,
+ FormBox["0.01`", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.3025850929940455`,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.10\"", 0.1, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 2.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {0.,
+ FormBox["1", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.302585092994046,
+ FormBox["10", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.605170185988092,
+ FormBox["100", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.907755278982137,
+ FormBox["1000", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.907755278982137,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.809142990314028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.521460917862246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.298317366548036,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.115995809754082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.961845129926823,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.8283137373023015`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.710530701645918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.506557897319982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.2188758248682006`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.8134107167600364`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.659260036932778,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.5257286443082556`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.4079456086518722`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.2039728043259361`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.916290731874155,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.5108256237659907,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.35667494393873245`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.2231435513142097,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.10536051565782628`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {1.0986122886681098`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {1.3862943611198906`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {1.791759469228055,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {1.9459101490553132`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {2.0794415416798357`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {2.1972245773362196`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {3.4011973816621555`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {3.6888794541139363`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {4.0943445622221,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {4.248495242049359,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {4.382026634673881,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {4.499809670330265,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {5.298317366548036,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {5.703782474656201,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {5.991464547107982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {6.396929655216146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {6.551080335043404,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {6.684611727667927,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {6.802394763324311,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {7.600902459542082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {8.006367567650246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {8.294049640102028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {8.517193191416238,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {8.699514748210191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {8.85366542803745,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {8.987196820661973,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.104979856318357,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.210340371976184,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.305650551780507,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.392661928770137,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.472704636443673,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.546812608597396,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.615805480084347,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.680344001221918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}}, {{-9.210340371976182,
+ FormBox[
+ TemplateBox[{"\[Times]", "\"\[Times]\"", "1.`",
+ TemplateBox[{"10",
+ RowBox[{"-", "4"}]}, "Superscript", SyntaxForm ->
+ SuperscriptBox]}, "RowWithSeparators"], TraditionalForm], {0.01,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.600902459542082,
+ FormBox[
+ TemplateBox[{"\[Times]", "\"\[Times]\"", "5.`",
+ TemplateBox[{"10",
+ RowBox[{"-", "4"}]}, "Superscript", SyntaxForm ->
+ SuperscriptBox]}, "RowWithSeparators"], TraditionalForm], {0.01,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.907755278982137,
+ FormBox["0.001`", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.298317366548036,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.005\"", 0.005, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.605170185988091,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.010\"", 0.01, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.903487552536127,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.721165995742174,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.567015315914915,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.433483923290392,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.315700887634009,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-8.517193191416238,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-8.111728083308073,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.824046010856292,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.418580902748128,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.264430222920869,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.1308988302963465`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.013115794639964,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.809142990314028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.521460917862246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.115995809754082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.961845129926823,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.8283137373023015`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.710530701645918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.506557897319982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.2188758248682006`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.8134107167600364`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.659260036932778,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.5257286443082556`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.4079456086518722`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.3025850929940455`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.8971199848858813`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.3862943611198906`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}}}]]], "Output",
+ CellChangeTimes->{{3.714231218528014*^9, 3.714231255059382*^9}, {
+ 3.7142313035157547`*^9, 3.714231308612544*^9}, 3.714231351814006*^9,
+ 3.714235201839225*^9, 3.714235263324795*^9, 3.7142355579614763`*^9,
+ 3.7142361528419724`*^9, 3.714236603635973*^9, 3.71423707986604*^9,
+ 3.7142376665939217`*^9, 3.714237904669828*^9, 3.714238469686336*^9,
+ 3.714239486913962*^9, 3.7143096688288794`*^9, 3.714309882196475*^9,
+ 3.7143102980925283`*^9, 3.7143103387980967`*^9, 3.714310788182613*^9, {
+ 3.7148075632639427`*^9, 3.714807570439906*^9}, 3.714807654527153*^9,
+ 3.714808257639398*^9, 3.714808797213571*^9, 3.714809145326331*^9,
+ 3.714830887652412*^9, {3.7148331530408792`*^9, 3.714833162206613*^9},
+ 3.714835185025297*^9, 3.7148363566927433`*^9, 3.7148365448388443`*^9,
+ 3.7148372620837307`*^9, 3.714837316260206*^9, {3.7148375560333223`*^9,
+ 3.714837566324032*^9}, 3.7148377355398607`*^9, 3.714837769146194*^9,
+ 3.714837997802803*^9, 3.714839546849403*^9, 3.714839598429822*^9,
+ 3.714839641354657*^9, 3.714840047372992*^9, 3.714840761409927*^9, {
+ 3.71499340791967*^9, 3.714993419710197*^9}, 3.7153818721594963`*^9,
+ 3.71538193374149*^9, 3.715410887462751*^9, {3.715411027935316*^9,
+ 3.7154110734047403`*^9},
+ 3.715411127912764*^9},ExpressionUUID->"296c598d-1cb6-4534-b798-\
+11e3bd7059e4"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Export", "[",
+ RowBox[{
+ "\"\<~/doc/research/sethna/doc/essential-ising_doc/figs/fig-sus_scaling-\
+func-mod.dat\>\"", ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[", "1", "]"}], "]"}], ",",
+ RowBox[{
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "2"], "-", "1"}], ")"}],
+ RowBox[{"7", "/", "4"}]],
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]f", "[",
+ RowBox[{"A", ",", "B", ",", "h0", ",", "\[Theta]c", ",",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}], "[",
+
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "]"}]}], "/.", "sol3"}]}], "}"}],
+ "&"}], "/@", "func3"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7154130895744753`*^9,
+ 3.715413140927946*^9}},ExpressionUUID->"b59b69ba-0e2e-490f-9cf8-\
+9fa4a3dd9674"],
+
+Cell[BoxData["\<\"~/doc/research/sethna/doc/essential-ising_doc/figs/fig-sus_\
+scaling-func-mod.dat\"\>"], "Output",
+ CellChangeTimes->{
+ 3.715413154049923*^9},ExpressionUUID->"7b7cb8f3-7a1d-4391-9d54-\
+ec37c1cc9f4b"]
+}, Open ]],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"i1", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{"i", ",", "1"}], "]"}], "]"}], "+",
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{
+ RowBox[{"i", "+", "1"}], ",", "1"}], "]"}], "]"}]}], ")"}],
+ "/", "2"}], ",",
+ RowBox[{
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{
+ RowBox[{"i", "+", "1"}], ",", "1"}], "]"}], "]"}], "-",
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{"i", ",", "1"}], "]"}], "]"}]}], ")"}],
+ RowBox[{
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{"i", ",", "2"}], "]"}], "]"}], "+",
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{
+ RowBox[{"i", "+", "1"}], ",", "2"}], "]"}], "]"}]}], ")"}],
+ "/", "2"}]}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"i", ",", "1", ",",
+ RowBox[{
+ RowBox[{"Length", "[", "#", "]"}], "-", "1"}]}], "}"}]}], "]"}],
+ "&"}], "@",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[", "1", "]"}], "]"}], ",",
+ RowBox[{
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "2"], "-", "1"}], ")"}],
+ RowBox[{"7", "/", "4"}]],
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]f", "[",
+ RowBox[{"A", ",", "B", ",", "h0", ",", "\[Theta]c", ",",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}],
+ "[",
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "]"}]}], "/.", "sol3"}]}], "}"}],
+ "&"}], "/@", "func3"}], ")"}]}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.714253437402265*^9, 3.7142534724354877`*^9}, {
+ 3.714253563304009*^9, 3.714253722476042*^9}, {3.714254476615617*^9,
+ 3.71425448002065*^9}, {3.71425510271012*^9, 3.714255104325654*^9}, {
+ 3.714393591583131*^9, 3.714393604335699*^9}, {3.714831650720236*^9,
+ 3.7148316512479*^9}, {3.714907226585177*^9, 3.7149072267446632`*^9}, {
+ 3.714993456613233*^9,
+ 3.7149934594500313`*^9}},ExpressionUUID->"d6b8c89a-a3b9-4e30-b7cd-\
+5b974a88b162"],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"i2", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"0", ",", "\[ScriptCapitalM]0"}], "}"}], "+",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{"i", ",", "1"}], "]"}], "]"}], ",",
+ RowBox[{"Total", "[",
+ RowBox[{"Take", "[",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{"All", ",", "2"}], "]"}], "]"}], ",",
+ RowBox[{"i", "-", "1"}]}], "]"}], "]"}]}], "}"}]}], ",",
+ RowBox[{"{",
+ RowBox[{"i", ",", "1", ",",
+ RowBox[{"Length", "[", "#", "]"}]}], "}"}]}], "]"}], "&"}], "@",
+ "i1"}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.714253865375753*^9, 3.714253984848627*^9}, {
+ 3.714254040978917*^9,
+ 3.7142540416013527`*^9}},ExpressionUUID->"7b49aa4d-971d-4f27-8504-\
+e3da44463eae"],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Show", "[",
+ RowBox[{
+ RowBox[{"ListPlot", "[",
+ RowBox[{"i2", ",",
+ RowBox[{"Joined", "\[Rule]", "True"}], ",",
+ RowBox[{"PlotRange", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"0", ",", "1300"}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"1.22", ",", "1.75"}], "}"}]}], "}"}]}], ",",
+ RowBox[{"PlotStyle", "\[Rule]", "Red"}]}], "]"}], ",",
+ RowBox[{"ListPlot", "[",
+ RowBox[{"mag", ",",
+ RowBox[{"PlotRange", "\[Rule]", "All"}]}], "]"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.714253985859055*^9, 3.714254037488164*^9}, {
+ 3.714254070388905*^9, 3.714254079756922*^9}, {3.7142541248098183`*^9,
+ 3.7142541467481003`*^9}, {3.7142545552141523`*^9, 3.714254573165605*^9}, {
+ 3.714254614496805*^9,
+ 3.714254694969582*^9}},ExpressionUUID->"18b3cc6d-6bb0-45ea-975f-\
+42b781072e2d"],
+
+Cell[BoxData[
+ GraphicsBox[{{{}, {{{}, {}, {
+ {RGBColor[1, 0, 0], PointSize[0.0055000000000000005`],
+ AbsoluteThickness[1.6], LineBox[CompressedData["
+1:eJwdl3c4V//7x8kKDZVsERLZs4zcN1kZ2ZtkhmQkIxRKkjIiMiISMlIkSmh8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+ "]]}}}}, {}, {}, {{}, {}}, {{}, {}}}, {{}, {{{}, {
+ {RGBColor[0.368417, 0.506779, 0.709798], PointSize[
+ 0.0055000000000000005`], AbsoluteThickness[1.6],
+ PointBox[CompressedData["
+1:eJw9l3k4Vd37xilK9cqYBiWJkClDpfFZhjJlSBIqISWFzDIWGUPGRMkYEqXI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+
+ "]]}}, {}}}, {}, {}, {{}, {}}, {{}, {}}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 1.22265},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{"CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{0, 1300}, {1.22, 1.75}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{0, 0}, {0, 0}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{{3.71425398741232*^9, 3.714254043061407*^9},
+ 3.714254073991085*^9, {3.714254126500804*^9, 3.714254147404223*^9},
+ 3.7142544887654533`*^9, {3.714254561554706*^9, 3.714254573654634*^9}, {
+ 3.7142546289379387`*^9, 3.714254695623906*^9}, 3.7142551123343973`*^9,
+ 3.714393618323738*^9, 3.714393685657749*^9, 3.7143937466169157`*^9, {
+ 3.714393777573605*^9, 3.714393805653455*^9}, 3.714806784231585*^9,
+ 3.7148074572881613`*^9, 3.7148087044817057`*^9, 3.714809089134021*^9,
+ 3.714830502018724*^9, {3.714831646222509*^9, 3.714831657107243*^9},
+ 3.714831800997868*^9, 3.714833060836087*^9, 3.714835117877409*^9,
+ 3.714836054773896*^9, 3.714836332648387*^9, 3.7148363942998753`*^9,
+ 3.714837437799202*^9, {3.7148377294221067`*^9, 3.714837756637006*^9},
+ 3.7148395004069643`*^9, {3.714839931209778*^9, 3.714839993182786*^9},
+ 3.714840024665745*^9, 3.714840757038682*^9, 3.714862653542861*^9,
+ 3.714862705599581*^9, 3.714862745224374*^9, 3.714863066907661*^9,
+ 3.714863181424206*^9, 3.7148658178761387`*^9, 3.714865878050193*^9,
+ 3.714865942261485*^9, 3.7148661039636803`*^9, {3.714906907358387*^9,
+ 3.714906969499626*^9}, 3.71490723455093*^9, 3.714992966088601*^9,
+ 3.714993481659814*^9, 3.715381878269917*^9, 3.7153819368685207`*^9,
+ 3.7154108901526613`*^9},ExpressionUUID->"8cdf1a85-bf57-47da-9b1d-\
+c568bbb10258"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Export", "[",
+ RowBox[{
+ "\"\<~/doc/research/sethna/doc/essential-ising_doc/figs/fig-mag_scaling-\
+func-mod.dat\>\"", ",", "i2"}], "]"}]], "Input",
+ CellChangeTimes->{{3.7154130895744753`*^9,
+ 3.715413179785328*^9}},ExpressionUUID->"1f05087a-8576-4828-8699-\
+12a75555f4bf"],
+
+Cell[BoxData["\<\"~/doc/research/sethna/doc/essential-ising_doc/figs/fig-mag_\
+scaling-func-mod.dat\"\>"], "Output",
+ CellChangeTimes->{{3.715413154049923*^9,
+ 3.715413182774316*^9}},ExpressionUUID->"a424fb95-fed6-46e4-8e3e-\
+38a0a5149959"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"N", "[", "\[ScriptCapitalM]0", "]"}]], "Input",
+ CellChangeTimes->{{3.715413224520918*^9,
+ 3.7154132269530287`*^9}},ExpressionUUID->"3051cab4-2f8e-47d8-92b0-\
+8859be022ef8"],
+
+Cell[BoxData["1.222409953716735`"], "Output",
+ CellChangeTimes->{
+ 3.715413228989623*^9},ExpressionUUID->"86bf6cb7-e563-4762-b664-\
+9841289edeef"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"ysave2", "=",
+ RowBox[{"ysave", "/.", "sol3"}]}]], "Input",
+ CellChangeTimes->{{3.71475673834993*^9, 3.714756740732313*^9}, {
+ 3.7147569906872168`*^9, 3.714756991974579*^9}, {3.714806857716696*^9,
+ 3.714806857835928*^9}, {3.714807724394093*^9, 3.714807731714316*^9}, {
+ 3.714808955303514*^9, 3.714808965343062*^9}, {3.714809205035985*^9,
+ 3.714809220747414*^9}, {3.714862687354288*^9, 3.714862687465536*^9}, {
+ 3.714907237151805*^9, 3.714907237239294*^9}, {3.714993483623148*^9,
+ 3.7149934837930403`*^9}},ExpressionUUID->"da020005-5c91-4bb8-887c-\
+1d4380b5f69e"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{
+ "1.1590816776306125`", ",", "117.8215934117823`", ",", "11747.04094219396`",
+ ",", "1.4318570338964094`*^6", ",", "2.094139148566792`*^8", ",",
+ "3.573207223661026`*^10", ",", "6.967914577627318`*^12", ",",
+ "1.5286214690981878`*^15", ",", "3.7261006539421203`*^17", ",",
+ "9.99083749664236`*^19", ",", "2.9223872789093326`*^22", ",",
+ "9.260527987136226`*^24"}], "}"}]], "Output",
+ CellChangeTimes->{
+ 3.7147567410585213`*^9, 3.714756992546587*^9, 3.714806728712491*^9,
+ 3.7148068581872396`*^9, 3.714807676119606*^9, {3.714807724872628*^9,
+ 3.714807733016789*^9}, {3.71480895607714*^9, 3.714808965653799*^9}, {
+ 3.714809205674447*^9, 3.7148092210457706`*^9}, 3.714830981700396*^9,
+ 3.714836844657175*^9, 3.7148626548087378`*^9, {3.714862687908442*^9,
+ 3.714862706469153*^9}, 3.714862746153159*^9, 3.714863067708495*^9,
+ 3.714863182871723*^9, 3.714865818728653*^9, 3.714865878213573*^9,
+ 3.7148659430201674`*^9, 3.714866105039057*^9, {3.7149069103027678`*^9,
+ 3.714906970443513*^9}, 3.7149072376029587`*^9, 3.714992967875737*^9,
+ 3.714993485758379*^9, 3.715381881197283*^9, 3.715381940341198*^9,
+ 3.71541089279416*^9},ExpressionUUID->"7add4ce3-42a0-4d50-9549-\
+ef06342db631"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"yy2", "=",
+ RowBox[{
+ RowBox[{"yy1", "/.",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"Derivative", "[", "m_", "]"}], "[", "y", "]"}], "[", "_",
+ "]"}], "\[RuleDelayed]",
+ RowBox[{
+ RowBox[{"m", "!"}],
+ RowBox[{"ysave2", "[",
+ RowBox[{"[",
+ RowBox[{"m", "+", "1"}], "]"}], "]"}]}]}], ",",
+ RowBox[{
+ RowBox[{"y", "[", "x_", "]"}], "\[RuleDelayed]",
+ RowBox[{"ysave2", "[",
+ RowBox[{"[", "1", "]"}], "]"}]}]}], "}"}]}], "/.",
+ "sol3"}]}]], "Input",
+ CellChangeTimes->{{3.714757114551498*^9, 3.714757118639502*^9}, {
+ 3.714806862165043*^9, 3.714806862268298*^9}, {3.714807726915642*^9,
+ 3.7148077351230183`*^9}, {3.714808950711543*^9, 3.714808968350219*^9}, {
+ 3.7148092086525373`*^9, 3.714809223996085*^9}, {3.7148626846920967`*^9,
+ 3.71486268497886*^9}, {3.714907240520297*^9, 3.714907240607555*^9}, {
+ 3.714993486410479*^9,
+ 3.714993486532962*^9}},ExpressionUUID->"03dc4111-b9dd-47fc-9035-\
+d84fc2a5c657"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{"0.025536974521879183`", ",",
+ RowBox[{"-", "0.017621558207938114`"}], ",", "0.01796105481881204`", ",",
+ RowBox[{"-", "0.022354270290232042`"}], ",", "0.031059935011251618`", ",",
+
+ RowBox[{"-", "0.0462110900606936`"}], ",", "0.0721186061834778`"}],
+ "}"}]], "Output",
+ CellChangeTimes->{
+ 3.71477901399013*^9, 3.71480673059066*^9, 3.714806862701418*^9,
+ 3.714807677595922*^9, {3.714807727445833*^9, 3.7148077355298643`*^9}, {
+ 3.714808951442019*^9, 3.7148089686904917`*^9}, {3.714809209175044*^9,
+ 3.7148092244041224`*^9}, 3.714830983386425*^9, 3.714836848165016*^9,
+ 3.7148626556760397`*^9, {3.714862688599277*^9, 3.714862707425961*^9},
+ 3.714862747017076*^9, 3.714863068275054*^9, 3.714863184422464*^9,
+ 3.7148658196662607`*^9, 3.714865878928565*^9, 3.714865943943626*^9,
+ 3.7148661057452383`*^9, {3.714906910760145*^9, 3.71490697150111*^9},
+ 3.714907241156765*^9, 3.714992970455934*^9, 3.7149934888284607`*^9,
+ 3.71538188344741*^9, 3.715381942591764*^9,
+ 3.7154108949855328`*^9},ExpressionUUID->"5f8d5cbf-2051-4478-a42a-\
+9d2e6f21ae36"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"ListLogPlot", "[",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"Abs", "[",
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"#",
+ RowBox[{"(",
+ RowBox[{"#", "+", "1"}], ")"}]}], "&"}], "/@",
+ RowBox[{"Range", "[", "7", "]"}]}], ")"}]}],
+ RowBox[{
+ RowBox[{"Gs2Cs", "[", "Gls", "]"}], "[",
+ RowBox[{"[",
+ RowBox[{"2", ";;"}], "]"}], "]"}]}], "]"}], ",",
+ RowBox[{"Abs", "[", "yy2", "]"}], ",",
+ RowBox[{"Abs", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]series", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ",", "B"}], "]"}], "[", "#",
+ "]"}], "&"}], "/@",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"Range", "[", "7", "]"}], "-", "1"}], ")"}]}], "]"}]}], "}"}],
+ "]"}]], "Input",
+ CellChangeTimes->{{3.714397130193721*^9, 3.714397156754507*^9}, {
+ 3.714401420222559*^9, 3.714401435542419*^9}, {3.7147400059859953`*^9,
+ 3.714740013317212*^9}, {3.714779019835246*^9, 3.7147790236354313`*^9}, {
+ 3.7148070307042303`*^9, 3.714807066415826*^9}, {3.715410976773264*^9,
+ 3.715410977525257*^9}},ExpressionUUID->"c32f25a4-7a80-4c63-8b1a-\
+2597dcbbcf5f"],
+
+Cell[BoxData[
+ GraphicsBox[{{{}, {{{}, {
+ {RGBColor[0.368417, 0.506779, 0.709798], PointSize[
+ 0.012833333333333334`], AbsoluteThickness[1.6],
+ PointBox[{{1., -3.667627895775339}, {2., -4.038632228335453}, {
+ 3., -4.019549486272981}, {4., -3.7580558967360895`}, {
+ 5., -3.3147273608071934`}, {6., -2.722685060186863}, {
+ 7., -2.0035229726701376`}}]}}, {
+ {RGBColor[0.880722, 0.611041, 0.142051], PointSize[
+ 0.012833333333333334`], AbsoluteThickness[1.6],
+ PointBox[{{1., -3.6676278957753405`}, {2., -4.038632228335462}, {
+ 3., -4.019549486273023}, {4., -3.8007379117331324`}, {
+ 5., -3.471836553625291}, {6., -3.0745354650967824`}, {
+ 7., -2.6294432071859983`}}]}}, {
+ {RGBColor[0.560181, 0.691569, 0.194885], PointSize[
+ 0.012833333333333334`], AbsoluteThickness[1.6],
+ PointBox[{{1., -3.6676278957753397`}, {2., -4.646668183716103}, {
+ 3., -5.338026399205085}, {4., -5.806241063379858}, {
+ 5., -6.0921341707606755`}, {6., -6.223876598314235}, {
+ 7., -6.222087633243273}}]}}, {}}}, {}, {}, {{}, {}}, {{}, {}}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0., -6.530192587272277},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledFrameTicks[{Log, Exp}]}, {Automatic, Automatic}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{"CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Exp[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Exp[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{0., 7.}, {-6.458340688627797, -2.0035229726701376`}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.02],
+ Scaled[0.05]}},
+ Ticks->FrontEndValueCache[{Automatic,
+ Charting`ScaledTicks[{Log, Exp}]}, {Automatic, {{-5.298317366548036,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.005\"", 0.005, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.605170185988091,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.010\"", 0.01, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.995732273553991,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.050\"", 0.05, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.3025850929940455`,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.100\"", 0.1, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.907755278982137,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.809142990314028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.521460917862246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.115995809754082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.961845129926823,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.8283137373023015`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.710530701645918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.506557897319982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.2188758248682006`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.8134107167600364`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.659260036932778,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.5257286443082556`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.4079456086518722`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.2039728043259361`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.916290731874155,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.5108256237659907,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.35667494393873245`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.2231435513142097,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.10536051565782628`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {0.,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {0.4054651081081644,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}}}]]], "Output",
+ CellChangeTimes->{
+ 3.714740013729273*^9, {3.714779016092326*^9, 3.714779023940958*^9},
+ 3.714806732903997*^9, 3.7148068647733803`*^9, 3.714807066951405*^9,
+ 3.714807678966655*^9, {3.7148077287353783`*^9, 3.7148077379434757`*^9}, {
+ 3.7148089588534193`*^9, 3.714808970053562*^9}, {3.7148092108135777`*^9,
+ 3.714809225878975*^9}, 3.714830983950481*^9, 3.714836849826356*^9,
+ 3.714862656238078*^9, {3.7148626890323343`*^9, 3.7148627076539173`*^9},
+ 3.714862747461363*^9, 3.714863068753543*^9, 3.7148631864026203`*^9,
+ 3.7148658203011723`*^9, 3.7148658793114023`*^9, 3.714865944416368*^9,
+ 3.714866106227007*^9, {3.7149069109587317`*^9, 3.714906972088879*^9},
+ 3.7149072417429943`*^9, 3.714992971237383*^9, 3.714993489211615*^9,
+ 3.715381883479282*^9, 3.715381942633556*^9, 3.715410895025694*^9,
+ 3.715410977837723*^9},ExpressionUUID->"913e84dd-5bda-4901-8cc7-\
+e187be2fe95c"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Export", "[",
+ RowBox[{
+ "\"\<~/doc/research/sethna/doc/essential-ising_doc/figs/fig-series-data.dat\
+\>\"", ",",
+ RowBox[{"Transpose", "@",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Range", "[", "7", "]"}], "-", "1"}], ",",
+ RowBox[{"Abs", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]series", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ",", "B"}], "]"}], "[",
+ "#", "]"}], "&"}], "/@",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"Range", "[", "7", "]"}], "-", "1"}], ")"}]}], "]"}], ",",
+ RowBox[{"Abs", "[", "yy2", "]"}], ",",
+ RowBox[{"Abs", "[",
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"#",
+ RowBox[{"(",
+ RowBox[{"#", "+", "1"}], ")"}]}], "&"}], "/@",
+ RowBox[{"Range", "[", "7", "]"}]}], ")"}]}],
+ RowBox[{
+ RowBox[{"Gs2Cs", "[", "Gls", "]"}], "[",
+ RowBox[{"[",
+ RowBox[{"2", ";;"}], "]"}], "]"}]}], "]"}]}], "}"}]}]}],
+ "]"}]], "Input",
+ CellChangeTimes->{{3.715412671491129*^9,
+ 3.715412753993752*^9}},ExpressionUUID->"1e1213f8-456f-4bfa-ab14-\
+81421afa8af2"],
+
+Cell[BoxData["\<\"~/doc/research/sethna/doc/essential-ising_doc/figs/fig-\
+series-data.dat\"\>"], "Output",
+ CellChangeTimes->{{3.715412738699588*^9,
+ 3.715412754650539*^9}},ExpressionUUID->"0a3ca91b-b806-4251-9eb8-\
+b7fec8c9b792"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"sol4", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"FindRoot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"eqsl", "[",
+ RowBox[{"[",
+ RowBox[{";;", "4"}], "]"}], "]"}], ",",
+ RowBox[{"eqsm", "[",
+ RowBox[{"[",
+ RowBox[{";;", "4"}], "]"}], "]"}], ",",
+ RowBox[{"eqsh", "[",
+ RowBox[{"[",
+ RowBox[{";;", "2"}], "]"}], "]"}]}], "]"}], "/.", "#"}], ",",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"A", ",",
+ RowBox[{"A", "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"h0", ",",
+ RowBox[{"h0", "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], ",", "0"}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]c", ",", "1.055"}], "}"}]}], "}"}], "+",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"0", ",",
+ RowBox[{"0.01",
+ RowBox[{"RandomReal", "[",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"-", "1"}], ",", "1"}], "}"}], "]"}]}]}], "}"}],
+ "&"}], "/@",
+ RowBox[{"Range", "[", "10", "]"}]}], ")"}]}], ",",
+ RowBox[{"MaxIterations", "\[Rule]", "2000"}]}], "]"}], ",", "#"}],
+ "]"}], "&"}], "@",
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"\[Lambda]", "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}]}], "}"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"a", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "6", ",", "14"}], "}"}]}], "]"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"b", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "2", ",", "4"}], "}"}]}], "]"}]}],
+ "]"}]}]}]], "Input",
+ CellChangeTimes->CompressedData["
+1:eJwdyk0ogwEAh/EZ00gui7VE4cBhaZTlMIe3sXw1WmwHK2tNROFgM7aRthRp
+ony1ORAOY1LbZK+1RC3fx9dlZBmLcVi2zEq8/x2efpenVD0s62UyGIxiOpgk
+vJ/jzihxvvEchwFZc9YErbBGzIa8/as8uOKa58At/u8qzNZ22mE89OGCm7oZ
+D5RTOSIDbYPQmnaR99oB9Vy7DFb8fIuMtP7trhbYSLEUMFkmUUKjx6yFra2q
+Behbu7uGh1Wm+/RXHQtCSit/gHXe7hBsY3+FYThxE4Nip+ALKuNXmSZa0dgZ
+C74VlStgU7mmBy6lXjRwWjA0An0KUg9tvZQFErZNElo59T7oT9QaJuGQ3ASl
+uywLnKp5SqtTmWehkqmeg26JY+CS9vG2chQG+/gzMGPHvABT3E4nHAwcuaHD
+00RC6cXfCczVFgQgmd9/AdvfYuvLB1GiJHq6C98jt3uQjBQew3/VhwXz
+
+ "],ExpressionUUID->"1424ff20-6033-4ed3-b705-d151fae88968"],
+
+Cell[BoxData[
+ TemplateBox[{
+ "FindRoot","lstol",
+ "\"The line search decreased the step size to within tolerance specified \
+by AccuracyGoal and PrecisionGoal but was unable to find a sufficient \
+decrease in the merit function. You may need more than \
+\\!\\(\\*RowBox[{\\\"MachinePrecision\\\"}]\\) digits of working precision to \
+meet these tolerances.\"",2,766,484,30811202974220835479,"Local"},
+ "MessageTemplate"]], "Message", "MSG",
+ CellChangeTimes->{{3.7149935773957453`*^9, 3.714993670455041*^9}, {
+ 3.714993712360618*^9, 3.714993774126966*^9}, {3.7154112408108597`*^9,
+ 3.715411400764497*^9}, {3.7154115126463842`*^9,
+ 3.715411527983069*^9}},ExpressionUUID->"387fdf1e-86d1-4a47-8c1f-\
+0ce1786c5a2c"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"A", "\[Rule]", "151.69841601714577`"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "\[Rule]", "0.9649584815188729`"}], ",",
+ RowBox[{"h0", "\[Rule]", "1.1467267772930676`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "\[Rule]", "26068.56423479226`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "\[Rule]",
+ RowBox[{"-", "92628.93079587484`"}]}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "\[Rule]",
+ RowBox[{"-", "1.205392052100758`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "\[Rule]", "123649.92835291321`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], "\[Rule]",
+ RowBox[{"-", "73471.00072901208`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], "\[Rule]", "16390.56275913787`"}], ",",
+ RowBox[{"\[Theta]c", "\[Rule]", "1.055732954296024`"}], ",",
+ RowBox[{"\[Lambda]", "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "6", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "7", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "8", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "9", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "10", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "11", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "12", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "13", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "14", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "3", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "4", "]"}], "\[Rule]", "0"}]}], "}"}]], "Output",
+ CellChangeTimes->{
+ 3.7148375429850807`*^9, {3.714837730361244*^9, 3.714837764393299*^9},
+ 3.7148379863742723`*^9, 3.714838712807025*^9, {3.714839508191394*^9,
+ 3.714839531066626*^9}, 3.7148395921397467`*^9, 3.714839635400715*^9,
+ 3.71484003503824*^9, 3.714840757905115*^9, {3.71499322123387*^9,
+ 3.714993277424842*^9}, {3.714993577408506*^9, 3.7149936705992517`*^9}, {
+ 3.71499371240888*^9, 3.714993774172338*^9}, {3.715411240818523*^9,
+ 3.715411400770768*^9}, {3.715411512652997*^9,
+ 3.7154115279887037`*^9}},ExpressionUUID->"c23cd273-c018-4051-99e9-\
+4e7e7ba532af"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], "[", "\[Theta]",
+ "]"}], "/.", "sol3"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "0", ",",
+ RowBox[{"\[Theta]c", "/.", "sol3"}]}], "}"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.714237194433928*^9, 3.714237249207802*^9}, {
+ 3.714808243331522*^9, 3.714808245322274*^9}, {3.714837252376389*^9,
+ 3.7148372526476994`*^9}, {3.714993287664439*^9, 3.714993292931672*^9}},
+ ExpressionUUID -> "1e22c783-e918-412d-aaf2-2c5ac92c2176"],
+
+Cell[BoxData[
+ GraphicsBox[{{{}, {},
+ TagBox[
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6], Opacity[
+ 1.], LineBox[CompressedData["
+1:eJwt1nk0Ve/3B3CzFBJlimQo83WupEj2U0miESFTSCpK5kLGKIkiQ/JJhYgI
+ZY5kylxcZB7Cde5F3EspMvQ737V+f+31WuesMz17v88j7XDD+BIbCwtLJisL
+y//qIrtG9kE2c92F/69KcdufKW3fCx2vlm7/r9ail09WxY+CAfeO7f+rD584
+JEyKn4M70p/TJ4j6q/bI1gHxS9BQqWzaSdTXFkUm3eLe0CYZUFZM1OD0a54U
+8XAwO3vc3Iaos00G7B3iCTAgvS/YUSwBnPdphvOsZ8DNk71n7/3LALF+m2Ej
+swLw2qU3Rb9WAE4bGmnVj4shaNdIojBnCQzmOGK+2cVgMWi64LqjBM6cZvMl
+VxdDr5C6RNe+EtBKPLgxbbYY3s7He35yLgFeuSKVOwYlcDt98JoKpQTeQ6r7
+0fUSeFb2xdHvZSms+/ivNF8tA+97/07zGZbDk0m1zV2oAlTiDi+f6qoEazcy
+mdusAvQrso3W5iph54q6yQGXCrgfcuds9caPkCWg+SQ9oQJEH6hohh/+CB8O
+6Eh5TVdA0Km9WZsLP8JIrIHatvhKEMgrJBsnVYGcrv1pc9pHEBKRm/DVrgZ6
+k4P7g1XiOgf96W3Hq+GtiWPcpy1VcPzAyVDV89WgefVyr7xOFQjM506I3KoG
+g/jrF5ZiqmB39T+f+JJqcJn2vfFU+xPYcoKUvmYNnDdqbpzVJ67r+zLF+lAt
+CAtwCLkYV8MDSdsHfKa10N2ta0u3qYa0p2lDnU61cMa26Ne4VzU02Mid/i+q
+FgzcX0j3pVXDk/C7HAr9tbD/ibd/7Xo1nNnI23POpw5EJ6TVnpTUQN6cpo1m
+bT30vLb221pbA/NVUv6NvfUQf+3J59gvNXBz7UO4x2w9CPzmtY6i1kC87i4p
+EbHPsIFnKSJEsBa2pLq+fOH+GZZIX8ddXGth32Ph633yDdDn55eIdtfB94my
+sWNvGsEvKv5gBLkOclMn/VnqGkHieR61XacOAk2V5PsGG+FCzbj6BZM6CHa3
+uD3J1wQ4t1F7QHAdjJoNfwjwbILF+O0bKgbqoKb33QxFrxkE8yt99z6qh6Ea
+pYQA1lYoqu7ZGZBcD+dKl/cE7mgFs05mY31GPTwdGk94daAVni7KCZtW1gN6
+LBt+0acVdupEv/eYqofr/wkYFsy2glqzzUz+kc9gosfTUDrRBicm1q2Vlj5D
+v1PBZXHGV0iktzDz2RrguPs+rj/87TA6mxi2l68B2IzVf/wltYPHEikXyTQA
+S/yEue+NdnjKd2HF7EQDnI78o2m20A74vk9P77xsAGXjYw4jQR0QHBXUM2TQ
+CLG+e1NMUyjQEmvk7GDSCFvLNlhO5VNA6InIOs2mEX7qfViPr6VAZmr+rp8e
+jTD1yOYamU6BLyWjXjwpjdCqGtEdotEJ4mMgpDnfCEpdRVNJlE4o3sty5tHT
+JnC4sKP54o5usNTm2rH0qgniXYPFvu/tBhbg/WFX0ARZcwH+/ie7wdBANEK9
+sQnUt1tsEAjohu+WWPW3X02QHUsyKxruBt5gO0ziTDOI4mfvbcz4Bg4t1QLZ
+XC3AcrL55J8TvbChvWFki2AL7HowsPD8Si+87WrL9ZNsgTmhfa7OYb2wNNRn
+cEKjBa7cUA+/XtkLDxnMYIZ9C/jLZYQrkPqgfKv0/N6PLSB71CM+XrQfBC6E
+UGo9W0FDocXbduMg2JhrRV0NbAVsYcg8Q2EQ3pye1xe43wre8kp9m48NwlFk
+X2nzvBWu2W/TPhM2CH7Sh14vNbWCfMlmjVCWIaBOsASQdrQBh3B3TtO/ISi7
+EqyQ3NQGSetCe9rFR4HTfv8E6mqD6jNHR1sPjoLxeWYKbbgNBlp5PJl2ozB7
+3E5o7882eFIqMPzu9SjIKKP1dskvUHKovDFq+3eImv3Xxen5BYZ6yxO/5H0H
+O4+gIHfJr7Bpz5k7apNjEFsqnDgu/xVSHv6apy+NQe3q21wT9a/w6zNvXinv
+OMjdG+rfe+wrVOFnjBM0xoH+n5bGX7evoD87tMskfBzcP/+kh9Z/BVf6hT6a
+0gSEil4xjndph3BZscUfd6lQaPPvCqdPO3Amrmx1T6ECNS0xyCe4HbzoBhm8
+RVTQV/2ca5HQDhrbpKwjx6iw6bAMt+SnduDtWONMOzgJLXvnho15OsCactb5
+7N9JML6Q9XjQugOM9NRrFO/SIIl1S0W4QwekL784MJNKg9F03wm1Kx2g65g/
+1PKRBtfohhphnh3w1ObZDHWRBnfdZ7+pRHbAG37NnLuX6VB+R10ssLQD/DEj
++fgzU7Azq/LFTkEKXNXb2eihPQOzzI7cS40UuBty5JuYBwM2RD9uzWujAEv6
+Ds83cQyQUTSd/kOhQIbAARnLYgaY2ffKRw5RID7MdEV4mQHVlOG0vHkKvPst
+dpTjEBMev59O+rO9E+LaeU/OtjFhvxdH+H23TmBU3qUNMOch9M8+m7diXaAz
+roBvdPkFhnHCYlekuiC97Sz3x5BfIKi22C2zqwtSZY7+upP0C9Kd3p9IwrqA
+/GfB6kzDL6j/pqJz51gXXG8ziqTKLAJXkfT2895dkHmWL479+yLcd9vUz0Hp
+gtndv/scnf9AzNSIqXVEN9SKmdbhNX+hRfmt7mp0N2ByFbQnw3+Bw9Vf4Vlc
+N2yW3RhwYfkv3FoQWR183g15BnyS6uQVsF85k25V3A3F53/I4qkroM5XN285
+3g0Pq7OrY+6tQjf2+uF5nW/w8b2sjZLjOojeutFkxvwGYpR9r4TUWdHuosSs
+hMVvUG5SaF12iBVpMD9GdP/9Bo9z5/Iun2VFZ67wHjfm7AHftz7KuBsrirDI
+bj4p3gNih2vaHQpY0fL+iRY9vR64ES+8xYbMhgaWzb6oJ/VASO9JocsH2NF/
+/rqd/KgXoo0+HDzrxomeOJ+UJeX2AcOKem6+ayN6+DV54yaWAci4doqr8pQA
+WpNU0DrtNghrMYcddg0IIZznxcRI/xDoh+p8+1MuglqcTeVUlEdgZ2JhykDW
+diRfejz3e9IovHDv+164fSeCSt1Lije/w8zDp5m5ZjJIweWkesSOMfhIvxJA
+jZdDXzevpol3j4HCv98xBlzyaH6ueeRBwDhIWtsYehxSRJS6fVXY3gm4EfT2
+eliUMppzSrbyn5qA8ltNXslJqsjRVYdV4scEdPc7opoXqmjQeySzcm4CPlz7
+bys1UxU1hcksrP2cgNHQ8c+SxaooNe1NRPD6BOgVqHyzo6gi05EPxeFCVMgS
+Kp6X5iGhinODm2MOUsHngmiYhzcJqdsEFGOIChdzT6gJ3iahLEcpK8phKvgd
+abN6G0pC8Z4XMwUNqOD0qEe+5xEJucbO6CQYU+G73Wnh+WwSkvmycjX5MhVc
++zhfGgyTUISeRP2rWOJ8mXVxe6SGelpP7A+Mp4Ky34df0/pqSNYkINf8CRXs
+aYE015NqqMp+JH4jkRPh3ezslyzV0M/Al5fcsqhgVOEZyOOlhmzL5bh1PlEh
+j1dnjpSphvaQVA27flChmL0jYYINQ8HFNlW5DCocF7Bg/cyJoa86D9XvLlBB
+IkZ8d/oGDF0xYohrLVFB/tLW2HP8GHp+5d3Mc/ZJ2FPjmvFYDEMb0vdGO4tP
+Al+d0CMXNQyNiOh2sBpMQvhW+/a7FhjaJvHfpzeGk4Cqygc6LDF0YudSnsnJ
+Sajk9vssYoOhCoX30RnGkzAXicjP7DGUpLXb6LjNJDRpBSgFOWPIxIq/IcZj
+EoLGdWdy/DHU9HykQiplEnQkWbfE/Yehf2kHcppeTMKKotAh7xQMab5OSnZP
+m4RH7aWCpi8wlJFv7Fv3ehIMinTjuNMxFFrdoHn5/SRIc+z+dvQNhg6O573L
+a5yE41t+VkmWYej9rqDXBxcmoaPbz5dEwZDMWpdq/69JULzlw5vciaHH3QpF
+Xn8miXnQVmbrxpDnnc7qnNVJWI56ytnUgyGNsV0DYtw4OCddZ1EawlDJszbe
+39txCHovmHUUx9BuL+m42B04cFgNa/rSMJRo5COmKo1DiObivmw6hm7+ldrt
+uBuHF/l9kevTGNpv4QmdGA7xU4YGgQwMfRAS98g7ikOi19+2jj8YUppxXTpu
+gMO226TmliUMJdfWBU4a4iAT7iNVs4whf/frDyTO4ESuOnSnrWBIp736VaQl
+DgK5A5ra/zCUm7lNebcNDnuedw0Is5CRRKDzu5oLOGSM6n1hEF5T2Vq15IhD
+Acfwk0Q2MqqKvNzrdIN4v4gTz0o5yYjkUGnD5oGDYYdTlA8XGT3X2kJN8cLh
+D0/ZJzI3GQXRPzC7fXEoUfGbfraBjJA+/8YjYTjUf1VW0t9ERgWSF2NG7uJQ
+tCWNj0ZYerFU2O8+DnNRLophvGTE9spe9t1DHMS60zgK+ciojrVYR+opDoNt
+aXITm8lo4/mk2J//4TAglhHnJkBGxgX+eONzHN7K14cuE/5uezjG7RUO6oFN
+A2tbyEi+ZNek3mscvLVdkK8gGbny8WiLvcGhKT1Dikl4taJ9oiYfB/ksL70O
+ITI6IlS4P/E9DkJX++5qbSWjSOfEaOdiHJ7Je+g8JywmZrNPqAKH2uT1v+e3
+kZGdG4qifcTB5mYnyzvCWY2yYxXVOKRlPvdkFyYjTZ/pSMcGHF5eW3maTDjg
+y5fR/c04PAgIMhwlXC/3ToOvDYfbA+P2UiJkxHs7/v7YVxyO8WeMWBI26bo5
+UkzBwenvsU+xhJOVrPZEdhPr03+arZ7weIhuhG0v8b0PH8mcJ6zYLz2sPoDD
+caWcbHFRMnLDONW5h3HgxgU3AeHSe/S7g6M4tJM2NNsSXh9pHcwfx0FVdvu4
+L2F9zXwsbBIHFsMR0xjC0dGPwy3oOEzF41JphLup3gMqMzhkObQeyicsoXNe
+jXUOh7GQ3eWlhC/G6YR9Y+Jwek98WAXhN9NS/dk/cfBXKUz7QHj+EDsp8DcO
+IslygsWE9z/FQ42XiX6XiaK8IRzMbO7dvYrDXfqj0f8INx57q7KyjoNGdJ92
+BGH+FzEh7aw0kN6zZfwGYbPfnj3pHDRwCKZ1GRNOOWmufIubBgdSN20mE6a+
+0g4+sZEGCYbY442ElVclv+3ko0Fw5UbrUeJ7eZiwKi1upkFYvbFLPuHyN9TA
+ZkEaOFXXVvkRZmFr6krZRgPXlyLnDhE+dj5HwUOUBrQpAVV2wg8LHgbob6fB
+u3K3o9XEekpeOCfP2EkDVUdfdRXCjiX7b9fJ0uB+WCHHINEfuXwSlCe7aRBU
+4SMYTli7ctwPqdDAdKF3sIXorxChhvatajSQM5dIcCLc5JwtN0WmgW+qZvga
+0Z9mYm5fY/fRABc255QknOJmIuukTQP7bWJxGUQ/Uxs1b2kfpMHAKwUTRcKe
+PmvSE4dpEMn8fEmGmIeYrkhvjVM0qPmSVTLAT0Y1r5OP9p2hQXbwJa9jhBf8
+32y7bUKDmzv1V/OJ+Tsn11JcZ0GD/FzZy17EfIp78/w2vkiDq3PJj1t4yMjo
+uFjD70vE82gVRm4jfFtSMTH5Cg36t0XoWxPzP/LZQHP8Og3mm6y5hom8SBeO
+8HG/RYNl97HYHHain6af6G/zp8Gs2/rjbiJvuD69Fi4PoEFa7MrZZVYyunK5
+sYTlDg3G1yhcmkQ+qZRx/XkUTQPL/Zu1bq8SeXs+/GZeGg2O26tjB35hiKaa
+cMw4g7ifwlq11E8MibJliPx+TYOMnBROlgUM+b2pLz34lgZl5apZhUS+wgr7
+UlspDcyF8o7QiPxtehZ6a6aNBu12gpeCvmNo2e2xwaN2Gnhc0P69Y5TI36Np
+ons6aSCkGLrnwzCGomdryvx6aWBd3lswMYAhY13WZZ5xGjC3LuSwfcPQ4GiQ
+r8IfGiD1EjO3JmLnsGeHKOdfGojdbenJbMDQj3uVJWOrNLCdKtDuq8fQqtry
+z2Q2Orwgl+Yq12BIItjDlY+fDtEaDeSEcgxZ77x0cUGODuyWN6M8sjHk6MXO
+1i5Ph4vSuLL5awxda0p9maNEh2MVHTmaGRi67TYy7IjRQZm19gT+EkMp1eYW
+vQfo4OgQuGVDEvH/tjM8WWlMB4XGloxz4RjCi+gzSefosCXRypAeiqG5Dfci
+vS3o8HuytN07GEPrBXWNJFs6PJNyDggh/s9SrAcPp16lw93WnKtW7hiyS1Xb
+dzeYDmOXGeVi1hgaH9sqfSaPDnH5A69rVTHU/X5Ue7WADmb8lSK4EoYa7rwx
+zSqkQ5f2iDinAobe7EIRLOV0oK3+GdorgyGPqy6Mgjo6PDhYeMFBGEPs8zUf
+t/TTwaZZtKF9RQ3Jsdyw7GKfgmG7JAOTODUkTNHyCuKaAquXG9xDotTQhjSO
+h8o8U6BjJTObGa6GfhxJrrnDPwU8Kum03ptqqOjeZwUNsSnwmLgt3mmlho5u
+lvgTT5oC3i0i6ooyashpR3Oc2fkpCEf85u5ZJBRb+0Bv0WoKyguOZha/JKFK
+p1OLcbZToKj5YmI+iYQE87vMKBenoOxdpbrxfRKqghGxE65TMCon6fz+KgkJ
+2/98cejOFFwnz0T/VCChxnTJXJW3U9DjudU3KlUVKSh61LOxTsOl57dOtPmr
+IKWTdUcG2KfhMWoRNXZXQSruW+vecU3DmO66TbuTCsLKS2rseKfhKYP3SsFZ
+FbT/+EpVlcg0KPhXbeaTV0EGV8PK/UjTYKnA47m3Qxldzk7MW7CahrytIXHO
+EsooU7EiabxkGtg3zbvVpyiiVEfZ/al2M8Dt/6JYIWo3Op+g7B8n+gO8TG+p
+rc3IoFPxCreVv/wAYRArrLyxA1V/0TNI856FrloX4y9JIoixylJ2QmUONPve
+9Wx5JYASnX8M/SbNQZzFfcXQBwJIp6+XNZU8B2dbf/WtewigyMI8o0VN4nid
+4kvJwwJIztl6NOXQHLirU3biNZuRZW8pF8NsDno/ZxftOMyPPr9zPRcbMgcl
+J3Y2aJhvQv85DS1865mDCVFuJ5chTuT3+lOeR/8c6OfFe4YWciJLeprz5qE5
+2Her+8aHSE4k7nx13GBsDn6yQVG0FidKuv6bUjEzB1Iu3BP1SRwo0UvgXSoL
+A859qOaKtWFHMaF6N64rEZYckXRnZUVudfLKG1UZcCosaPj7EAs6zbGJlqnG
+gJXDl7W8y1gQ/12K7XcNBijyBanxurGg6Pu2p0yAAWUPLvbO1PyDyJhbqlqm
+DAjcl3c+JW4Nwp7nznAEMoDa7HHMy20ZtC/s8BkIZsC1PVMXvJWXYV4qhqXg
+DgMeVt326sKXwDbVc5vVfQaU2IebvrZdgv2vtOFdPAPIJ/LECo3/wGx202Ob
+HAbQQ8KSQ04sglkxVaukjwG8ifrrGyLmgdfHrP7BIAO6egpil0/NQ51m0yn7
+EQZ0F2h9NBKeB6ws5yIvlQH9NVr7t2UyYVOFR7QDgwHRJC7RQOI+dnbBbtzs
+TIh0iNy+cvwHbHXiry8UY8Ieh3D1IWJfSg0b1ufazgQqt4fbqcVJKErPbbaQ
+YMJ6bubla8Q+/dyYYfvaDiak8nPp56xTIdH63sAxOSZUK81+Uv80AaImLPOD
+JCb4XaoYMbYbA7p7uycJY0JDwX0RH5ExKIt5/juYzASJtnenj7R/h/NfdVZ3
+aTBhi16swCD6DsnHfbndtJjwICbEctF8BCTQgiSHHhO6AiJzE44MwA/bmpdm
+R5lgLXLizkxDP1QGxMhm6xPH1yjYQ6N+sKkgKZ46zoTLNVKVpRZ98FzTRSPp
+FBPCLm52iAztgZ2qVEOV80wwm/HJTxTqAqZR4ZdASybcCC8IdsnphGrn0DMU
+KyYcaVX9GaLXCfZZO818bJmQr9hp7uZHgTRZG/vqi0xg/LGkeE23wy7xnpum
+rkywWxXktzVqgfcLgue/3mDC6NJ89fDDZtBtPa1t4M4EOhul2vxbE1jcbl49
+4MWEWfXJX6oujRA1Uhki68eE36fPrXF9qAex0mWHFH8mcJ6WOL22tR4yH2nq
+iQQwgffT4mqnRx1UowKuTcFMCGn49pVvXy38Skt/sBBO+DeJK7/2E4T4f792
+7R4Tmo5oFFVlVAG/qeQpPIIJ791UnU4//AgKnE8EBh4wYcPjRwmdNyrA+nJk
+Qk0sE+4ocsq4eZbCFDT6HIhjQoyRbVdSSAn4iHJYFMczYV8zPWM1vhhimgPE
+s58woT9uWvtMayHUK7u/iElhQuyIrbOYdwGc5cgL3viCCUX39ryXsMiHkaFp
++7CXTBCUfDs/2v4WlqId5W6lM4Es4kN/PvQGVJjm2ReymQCjb+QyrmRAeVN8
+ZP8bYv0tWTzZZF+BfirFxSSXCY8ebL4UiaeBnbER6Vg+E4RnRK0/RryE+CLd
+QlIxE35EHbVWck0C6Wj/+KwSJmz+UOtp65YIeZfKvGXKiPdJPMBz6U48NAmr
+7xeuYMLF/YXDEoMxcI7hKhZTyQShWrHzbboPYbwx5y9PFdFPFJzkSIuE1Vu7
+Pq5VM6EwJHfX78QwuH/W4fnNWiaEUotuCqeEgLDSi6D5OiZYvT1SI3AnALBB
+0cOTDUzobtak6TZ6wMfCc7IXmphQKy6SExriAoZRjzn6m5mw7eEn3TzcHnoc
+2yeNW5nA9SMmxPzWWbh4kLexrY0JmZxaPk7Jbrr/B4rnptk=
+ "]]},
+ Annotation[#, "Charting`Private`Tag$17259#1"]& ]}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 2, 2][#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 2, 2][#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{0, 1.05}, {0., 17.096611295873398`}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.714237249652542*^9, 3.714237674457458*^9, 3.714238002214877*^9,
+ 3.714238548204287*^9, 3.7142395741313543`*^9, 3.714310570543141*^9,
+ 3.714310623124496*^9, 3.714311017345689*^9, 3.7148082455844183`*^9,
+ 3.7148087394536057`*^9, 3.714808790219943*^9, 3.714809137463914*^9, {
+ 3.714830783818783*^9, 3.71483083339424*^9}, 3.7148308849707937`*^9, {
+ 3.714833119603292*^9, 3.714833137091063*^9}, {3.714833216896283*^9,
+ 3.71483323718008*^9}, 3.71483517815341*^9, {3.7148353817008543`*^9,
+ 3.7148354101922207`*^9}, {3.7148354850314198`*^9,
+ 3.7148354991762247`*^9}, {3.71483605782858*^9, 3.714836072392943*^9},
+ 3.714836335978918*^9, 3.71483652907364*^9, {3.714837246343439*^9,
+ 3.714837252902101*^9}, 3.714837310164729*^9, 3.714837545315074*^9, {
+ 3.714837730776862*^9, 3.714837765174131*^9}, 3.714837988177272*^9,
+ 3.714839534940205*^9, 3.714839592912971*^9, 3.714839636267261*^9,
+ 3.714840035800082*^9, 3.714840758409679*^9, {3.7149932799586763`*^9,
+ 3.7149932935863247`*^9}},
+ ExpressionUUID -> "ba491595-f13f-4ebf-8f87-bce4f10cb6d5"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]f", "[",
+ RowBox[{"A", ",", "B", ",", "h0", ",", "\[Theta]c", ",",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}], "[",
+ "\[Theta]", "]"}], "/.", "sol3"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Theta]c"}], "-", ".1"}], "/.", "sol3"}], ",",
+ RowBox[{
+ RowBox[{"\[Theta]c", "+", ".1"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"GridLines", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"\[Theta]c", "/.", "sol3"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Theta]c"}], "/.", "sol3"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}]}], "}"}], ",",
+ "None"}], "}"}]}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7137866834085007`*^9, 3.713786686617977*^9}, {
+ 3.7137867217375593`*^9, 3.713786743847704*^9}, {3.7137868182565737`*^9,
+ 3.713786841939253*^9}, {3.713786924527413*^9, 3.713786974385107*^9}, {
+ 3.713787042137055*^9, 3.713787053211768*^9}, {3.7137870901431293`*^9,
+ 3.713787090608033*^9}, {3.713787341082642*^9, 3.713787364514805*^9}, {
+ 3.713787741825034*^9, 3.713787770799416*^9}, {3.713787886687026*^9,
+ 3.7137878869430323`*^9}, {3.7137888266494427`*^9, 3.713788842463161*^9}, {
+ 3.713792007167099*^9, 3.713792021787199*^9}, 3.7137922767568893`*^9, {
+ 3.7137944309219913`*^9, 3.713794435906933*^9}, {3.713796878199485*^9,
+ 3.713796887244006*^9}, {3.713796921081345*^9, 3.713796926722969*^9},
+ 3.7137971807617273`*^9, {3.713827438749836*^9, 3.7138274538037987`*^9}, {
+ 3.713827581712484*^9, 3.713827582113104*^9}, {3.714130909227974*^9,
+ 3.714130935160301*^9}, {3.7141311982159986`*^9, 3.714131202051044*^9}, {
+ 3.7141312471974087`*^9, 3.714131274688491*^9}, {3.714131759254038*^9,
+ 3.714131761606591*^9}, {3.714132524986391*^9, 3.7141325265937033`*^9}, {
+ 3.7141337230994473`*^9, 3.714133746090554*^9}, {3.7141354101671886`*^9,
+ 3.714135444491536*^9}, {3.7141356514052277`*^9, 3.7141357777377653`*^9}, {
+ 3.714136651714642*^9, 3.714136680207863*^9}, {3.714137789157419*^9,
+ 3.714137796981852*^9}, 3.7141379430983753`*^9, {3.714138196842355*^9,
+ 3.714138197292419*^9}, {3.714222654297492*^9, 3.7142226816813173`*^9}, {
+ 3.7142241379694967`*^9, 3.714224153092409*^9}, {3.714230783232278*^9,
+ 3.714230783558969*^9}, {3.714230818213155*^9, 3.714230826884192*^9}, {
+ 3.7142341440952263`*^9, 3.714234196383806*^9}, {3.714234229569173*^9,
+ 3.714234230040387*^9}, {3.714235501458014*^9, 3.71423551337781*^9}, {
+ 3.714236994527731*^9, 3.714236994990761*^9}, {3.714237025199979*^9,
+ 3.714237025585266*^9}, {3.714238448421826*^9, 3.714238450287497*^9}, {
+ 3.714239460624751*^9, 3.714239461804504*^9}, 3.7143093152144613`*^9,
+ 3.714309354244766*^9, {3.714993302034452*^9, 3.7149933093567123`*^9}, {
+ 3.714993362593301*^9, 3.714993363190507*^9}},
+ ExpressionUUID -> "0b11131a-311e-44d4-9df6-6d33baaffe0f"],
+
+Cell[BoxData[
+ GraphicsBox[{{{}, {},
+ TagBox[
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6], Opacity[
+ 1.], LineBox[CompressedData["
+1:eJw1mnc8198Xx0lZUaKQSEMhDaUh5CiUUbaypZKGkCJKZsPIihAyPx977xEX
+2SubMhKyP+/Pu0iU9LvfP37/8Hg+7vuee+45557XvY/HZ/c1Wx3LdQwMDBvx
+n//+Lx3vrZoaIFHZ4yx/QyFGBYWJhqVrfSSabt47aaW9Bo6Sjqccu0lkpd67
+v4z7D2S6iDj5dJDItPWeYGPwMkw0dpVEt5GIQz85nfRagh3bPFaym0nkWt+t
+tlK/CDoWR2Q+NJAor1ymoOb8AnhnDj/uqyXRZ+mhy1uXvgNa8SufqSbRtSat
+krQWEpaUZVZXK0lUM6tx5LEHHQ6+npbjek+i10lpy9pxNLg+EvZ0bymJuuqd
+T43PzUHkAeXKk0Ukil1Q2ztoOgudjgtrqvkkYvLlHxT+Pg2sH+LBNIdEMjqJ
+Ns/ip0B+s5a7XSaJqML1A6/vToKD8VqVVxqJDrwWSbpx8RtkJGcwhieT6FyI
+UMHzsxMwtmB0Lo1Cor2GypwjKuOg9ar4Q0cMiRKr+vXg5Vd4OWC5fiKKRE/P
+234zLR+FSpGtyr8iSCSdNmgd8esLSFTY1QuFkEhsOn2CU3YYrrEJsxwNIlHl
+nDaf6O9BiNBvu6DkTyIb3sP7Opo/AzMh3nTn5X/73bohN2oAzsgMsLk+I9GL
+1fArqW/74eGLF2rBHiSSf3PYLY3SB193jrcUPyHRjSf1AiYjPcB/N5ijxYlE
+IqxDqi839oBGMVwacSDR1e8HnDLOdcN7zej29XYkurM6mebV2gkLUWqb+e/h
+fPfyx+7d2QkHppc1Je6QKK12/2rYow4Id9fv1L5BIhc4pOzp3g7trUzclhYk
+4l/pvmRh2gbrt+fpOJmRKLSgVqDhfCvY527qiTEgUVwyY2u0XDOk/n2/NU+f
+RAomvLvGLzTBqOpd/TodEpXg/BmbNsKlsYa+uYskqkr88WKBUg/PDjvy/VMl
+EcmYGSLZVwdlj0UMuC+QqMfDoKt/cx2I8Xh8kj5LootdUpenIj+AufkRgYvy
+JBqoPjsUM1cDYenDRuayJJoocTlrplgD65Vkhp6fIJFB3SPjRuZq+PJwYaRL
+HNtfsOD+ll4Bd57FfFzcTyJWh0dslfnvYTFEtYpXBMcj9JWJTl05sOfHxhvt
+xPnkyvGXX18GoTVqr112kGi5tUt2VrIUhLt+esbwY/sjqibLliVw/Lv6jTFu
+EjU+cH2/aboIEMMvvfVcOP7uM8w+x4tAjStBeT8nibQSuu1VvQvB/Mjy/jss
+JAq6yJ6RoFQAPIMq3eO/6Khn+WfXQ/Y8EPsdUyD6g46qPjgONvrmgvz2n2/u
+ztPRwU7Z0myuXLh1Jd5gYZSOFlPfSD4/mAOujr9Onxqko2XhE8nwLBtC3lza
+8aSXjrjMSq6plWZBZffK8LpmOrIz2B2QD5nQ/UMTna+lo5yHicOeQRkwvSUp
+zreSjgyM+gXYZtOBR0vnOnc+HXX4KBPSRWkgZpuidDmTjrS6y8usxNJAPmBt
+X2QytsevlHolIRVutaZN746mo1vbBkTeZaSA6xxDs2UY9p9xoMRbLgVC2K+k
+pwbh+ee2aVl0J0OKeOYrmi8dXT2u7LhklwyVKkw2R5/T0S4exuvbtyVDt5Wh
+poMb/p6qPhWNkmD6RbZkqTMdBT3lMNG3S4I16gbuvw/oSGX+fjC/aBLw1Bkv
+KNjQ0UCTBKoYp4LYRG7Ps1t0xC9l7yCURAV5Jtaixmt4P8dbA7bYUEF3j1k4
+hymef8hvj4MsFW6dLXDSukJHcdbkI5FNVHC9ym4Uqo3jqbWwsvqNAiFuV2UH
+1PH+SmMkhmsokBJTJCh4no6kz/+NplAoUFnBsWaugP27+2pUyo8C3UPXviTK
+0FFj/2EPa0cKTP8pqZo6TkcMkuHLkjcpsCawOUHiCB25B+H+Y0QBHhlLL1tx
+HK/kA/VzOhQQMyy/kb8X7z8l9na6JgXknbac/yWE5ycesPHRooBuuJWoLD/+
+/pi/o7U+BW4VVbC6cePxvOvjsmYUcO3lma3hwPt5dKr1yx3s/+LtFmYWOvIe
+G1lWeIL956nKUGPE9XFKdFAlEPt/jDcg4A+BxAJqvb8mYf+1rW27fhIoReNZ
+4c9q7L9djRYvSaDGocpMu1HsfyD/MaNZAgUZbdCWX0cFnmwbnpgJAnU8Z+VU
+F6WC40bqc8sRAmmZ/dDcokuFz1aDvw4OEKhqh9KLJ55UiNulMlTegr/nDmke
+naMC01NXDa86Au0K3/Qne18S3PxUUKWGCFQSOXal5VoS1oPd1E95eHyPTsib
+ySQIJK7wxWcQaLTBxFjoUDL8UAvwuZWExzvd+RMdk6GE6c+9X28JFOHoy3eV
+OwWUHHpObvPAfCO2tOpOKiR3sqcOPSZQXBHzev2GVGA/fHYH5SHe3+2IxGv7
+06BjMvPfsVsEUghyScyeSwNTg5eNWpoEzldMpH9wBjjKyhi9EsLz2dhjM4Rz
+4FO4XasuH4E4brr65JnlgNxikvyOLXj+v6TskJgcWJfJszdtPYEYSguO9ezK
+hYCdtLmGORpSclRYbjmcB8mMcU+ZyjB7OTYNWhfAQMOG+MeXaagv2MHqmEEp
+BGnWGGVo0ZDMAIeJHbUUVPpdt46o0ZBJiS1sXCiFkslfL88CDZWIVS5rBpZB
+xIZZG1YxGq6XnAujLeVwRbFdLmxlHv0IijkZrFsJfZVhA7nR84g3MjlKqqka
+ugtFuWbG5pCNTqWOsXIDyP8yKJbVmkUHrO4mHXnfCe/G5kwma6dR8aRgr4BP
+P0glsk3s0ZtC7a3ZzzjCh0C6+GSiYu03FBrnjpLaRuFhHKG4pDGBzGp/3fw9
+OwYUKdZxhq9j6O25h5FzDROgKHC0jK77FTluCrn1PmQSjmU0fRut+YJQ9BbH
+cs5pqGjWbEiLHUKUIK62tw9mQPLMW6qI3ydUFVthqZUwC1xGy+dr0vtQPsPT
+QL/QORDWlv+iHtuHSj2/BupHzMFhlWePel/3oTuMK3TB6Dm4eJIrZdq5D+0w
+zOtKTJwDb24xts0qfWghRlfmdd4cMLRcaTGe6EWiH85p//g4B6RsseZPwV70
+JTnBo451Hj4KORqIBXSj3DDDum/28+B9t9HthWc3WvJB9gMO86BQKpA84diN
+tpfWMjU5zUOeHvoZZ96NRr1FuxNd5yHUjzVk+9FutFs5QlrBdx6MViLb2Hu6
+0FT79eSt8fPwrQedo23vQiFREZck2+bhjx/boTxqB9py6KidrjANtPQEHfne
+diCNrX0Nv3bTgCJ4BLm86kBFigkdb0VocDFTT/vCgw4klX13uU+cBtHtsQ5D
+Ch0oPKA+/8RxGrjssXbhLPmIXs0csHuuQgPGQ3UxRSLtqNjuV6S9LQ3SKmYl
+PTa2owhTCYv2+zTQ0eD6oP6jDXGbONJEH9IgwdZ4ahS1IdPtH416nGiglPf9
+yEbjNnSe8ctbbk8avDi1s9o8uBWFfXt0bF8oDTjOPRpnXWvG9Z7yJ6mIBno0
+z58q35rR0nv/v3klNIiKCGDxaW1Gb87emH9fRoMDdKoEW1Qz6lHeENJcSQPV
+6J4HbNLNiNn5E3dbPba/dHQ9u30T2lGqp23bRwOG9HmRjZMNSDBIV//gIg1U
+Li+fVG9rQFMa3QtLP2kQyLhe1a+gAV2ZNg5Ev2iw02DHvY1eDWiG+S5S+0OD
+MxvUCjfuakCuk++4VNcR8Ng8WZnDqB7ta8ga+baZgAWeazc522vRYNEZ9URx
+AgKsX4QtFdUincjsZR4JAsTr0uq/xNaiu84qPzwPEmDuuLA/734t2il6vsX4
+CAEtA8+mLm+rRX4VFUIrxwlIfJd8K87kA2rY9tF/DAjQFaXdkZqrRgLu7R32
+egQQbluiBHuqET3SKCFTnwCfgRMtGyqqUSU7T/PkZQKQj6vEQEA1OroiKaRn
+SIDE/OZ5l2PVSO6zyGcBMwKYco/dq3euQjZjPTQDKwKsHMiRPK5K5GB/6Opb
+JwLYt8jWx49UoO4/590KnQnIynieGZRRgWx6x/I/PiZgaVzAxUalAvULjJit
+uRDwQkd5+wH392iHv02tkgcBSUcidePpZaiuOJHL0YcAtZYJmaCKMtTgMp/+
+wBf7f/PIHje/MpR5zcbC1o+AkzG1pIloGRKSPS1o4U9APQfdn9+8FHl+Dhw4
+FEzA5IxiY2B7MVLIK0i2jiDA93lAtmt0MRJNKme++JaAw7s/hd27U4ye3T/8
+TDySAEcDG0t15mLUuEtncCSKAOaGiHUs8kVoakiDUSqWgP0UmpxrZgFSlNC5
+6kElwNIsPNf6VS7ilBkni3MIyNHj6c00zUWsO6BaK5eA32qBy8ThXDSWVLUw
+hTno1Eu435mD2D40u23JJ6Cc61GbA28O8lhdO6VdSMCeF5ldfxUyUenZsgte
+pQSU2FO3u49nIC0LKfV1ZQRcMn93dd2LDHRCdTXPDbOTtD/B3JKOhAV+ZzuU
+E9A+a83OpZ+GDPcuX9OoIOCJ5sFze24no753ivV5VQRwyYn4UDYmo6AcT0H+
+ahxvMcGO/VlJKFekb+AJ5i5GDjOJBSoSsRd6Jl9DgFjBnPPxpxRkIt6enPOB
+gMq48aoiYQqKDtM9ylaL68t/kOV0TSIqe526+ypm15stb86wJCLTaqFTbHUE
+9PCn554PjkdfnW1OqdQTcGdD4nKjVDwik2tWQjD/+x4J6n1xSGuP794RzAda
+fNs0d8QhSuv7zHsNBDD86enKVXuH9S42xrkR12NP7dWiwGiUdcgtpgTz5cwC
+oqwnCl32M2ZewpxhHspeaxaJvHde2GTdRIBhre65PvtwdGPRlUG5Gftn//Dj
+i/Iw5CC5V9URM2XXG5NT68PQ7kuc7EmYCZc+x4g3oWhb7egiQwsBPBK/mFRH
+QhDBMLrzIGbpT3zBK/tDkNe4VrU+Zs8ThulGJcHIRTfbloI5edxZeiNjMNLp
+yn7ShLk1OLKuXDUI1X66wEDDfHioGJ0u8kdZhlcfHGn9L38rnyIvvEJmXaSF
+BuaaUJnFPwO+aGuPdNNdzFcuVYhV/nmJJK02rkvAnJC6dk7Y/wVyZknsLsM8
+v0HB1H3ncxSswbGzC/PJax6PvmZ7IaeBi1+nMLtX1gSfO+uJ2MdvC61ibhZY
+n5HY5Y7mLt3q2tSG4034vmJrdEWRkRcZdmGuTOKsbpl9gm7MCyQfwaxrHvTT
+n9MZ3WTtrz+DeZqP54CWpCMK1X9mrobZpeONGbfuA5QxtO++PuYtPvwhPQ52
+SF6l8o8ZZnWvk4GHjt5FOROa/25ifrgou5zraIkk44ae3MMs2+JZY+1kjhD7
+zfv2mAMy/UMdmPTQ0cu0Lw6Yq4SPtq0KnkX7Lz9oeITZ2mZCpl5KFDjdl0Wd
+MC9dTpz9UnQRxOdcGP8bF2s6v9eqwxC8tRj0HmK+u/BOLDvhGnBu9BS2w3zO
+MwIdML0FFnyMN+5g5t8ScjmJzwZYtrrsvo55+WP9EtfMfVA/+/2KEebrfEo1
+GvUPQcPDjEULc7tZtf+rxEeweqX6iBJmmSR5w2b3x7B9jrfzJGYqrVyE1ewp
+HFlnMieK2TtScDlByB0SmP1d+TDLyw8On33lARSmJN8NmBe/vv0w+tsTpJMS
+Nv/A+TEX5wvcOfAc5EulntVj3trW+7Di/Evozqh4kPVf/uxCjUwKveHsRd6h
+0P/yXbJlf+RrP2DwEeAz/a8ejDs2nmb0hy0lJTPymOP/BXzvtw0AtyL2E8KY
+X+x9MeKbFARCp9NPDOJ6tHCw1P5sGgzVpjOzRZjlGpRqxbe9BsrrMv5gzD/u
+MKU1eoXAjWvfhxUwm+a5OzBbhMG0QyRnAD4v7kYGreOi4RBYdOyyIeaEdZJ7
+q4lwMNJ737kH87TOl44nLm9B+aqlRQ4+jw8Xzhz8Hh4Nw4ryXwvxeQ2P2ubV
+bvYOMrxDkT3mMkXap/R9MWBgrtNxCDNDaPTLm/mxYC5Z5B2D+8H5yKff71nF
+Q9PYoM4d3C8MbGaih5rjwbXxSA0/5tvn9FXUDydAyqjC9Trcf17NHowV+5kA
+b9pkZbZj7pIZujjuSYG83aPS6bifmQ3KpBjEJMNwRYiFE+6PdtlJug2MKXA9
+InCUDbOHF/e/E5Yp4Cf3OvAtwv1CYlaf52Aq8Dw9+DS/koDZJ2+Z2kvT4Ee6
+YEjne6wfgstmir2ZkBrh5ldUgvNPXmfPk86CXfy03wcwv639WLgrOgvKp8Qi
+3hUT8N46mWPtWjYIhN01flqE1zOTMDVxygHP+HgG0QICGrI+s/Mx54HXebW4
+Pdm4vuXNRVyO50HU4vCh51lYz9rGz3y9lgeebnx7JzMJ0Jift0tHeRCzbVQx
+MYOAPvF/feCcD3ES94zY07AeUvYm3povAPU9N4r9KASoHE953yZQCOcRZPQk
+EpD24WDfMdVC8LYUYRbEbDN2gu0vtRCOS+pNU+PxeRNWsQ02L4IP/y5YJMVg
+fYyyli3rKoav6ltWDbG+3jrwXU+YsQRGFb0lfMJxvZY62Dw7UgI299kqisLw
++R5wjdd4VQJMhqYbON8QwMsbzDKuXIrPdeS+JKzX+4ILuzeWlsEr5gQHX6z3
+Si/X7prGVsDE9fSm7U9w/z3osUbtrADR5l3Da/h+cbCTKZhgqoSWlTnGMXz/
+2LqDrcjtViXcN1ZwTXAkYCKLhyHhKAKq7bw0hz0+/3e3qi1YVIHmk5/WnrcI
+GHstPnJqsRrSdPxu/9IlwOTbHVETzhrgqwXGGB0cv1MZdu77a6ChXzL2nDbe
+z9BhpiaDGjBbODf/XIOAvP3HxYwqauCkxdWqRRWsB2Xy9i4vPkCKzNtl+zNY
+z8d0mav568AhtbydECHg7/bsj7ZH62CZw+KbyV4CBrTZ3+5Uq4OIbaMsTbsJ
+8K+uknB5UgdLI+U2UTtxP4o7pH1qpA6m5DeUiPHj/mPOEp2ZWA+JuWIDE+z4
+PjlcdjTycCMw6h2THCVo0DWw2/SBUguwVLJVN+bQwOj6DVZn4xbwrmBb/JRF
+g6+0pHxX+xboS9UynMmgAbnuILtvXAskBC6WrEulAeehk8Vxqy3wmF+tSjge
+33c91La0F7QC53Kh37pgGlSIP6gT29cOLYatU1b4Pq93ZoyX7tkBLswSYuH7
+abDK8qH5TmAHyIolX/m7F78fuhJdJ6M64F/jDiUL/J74aWU5OVzQAeSisvYe
+QRqEvZ4ubJ3sgA0Pp8V9ttCgf4rQS1PrhKx2uTMzf/D75fWf15bcXaCxdGQH
+0T4PV6e2bh6K74bt3zKKx+7Ng5nxjJRXZjec7Klbnb4zD6YfKwwOlHaDVcvZ
+3TQrPL/4ZoJTRzc4bx7ZQLeYB72XJSe2/esG4/5FiU/686AiamKiYdIDVU9X
+TM6fmQdJq8TUKt5e8M2abnVjn4d/k0eVqH59YMLHUaIaMwdFr/1cKm9+AqGc
+UW7J7FnwD69X2uw+BOrqwtQE9xlY/j6nKnfjCyguTknHy0xDjY6zrZbiV/B1
+qWkopU6Cdv/9AbJ0DDI7TBNrf03AfC6r4mnxCch9o1oWvnMc+E8HObwr/gYQ
+0LB1dnQUOOde1dtKTEFnfpRWqPAwhKg+/mJaOQ3GlyQ/fYnrB5G1Db8XVGfh
+jpq0u2lPJ7gNM0stl81B9UEOTlfnRshKZM+Xrp6DzsHBdxKqjTBye5OUc8Mc
+zFvsEPjK3wjyS9ukfnfPQeyWBSvLkgZY3bRPanV+Di4IG1aM/KqHxwqKUgzC
+OC55muY/nergYaKbFKvXPFRut3Lc/7IGKLe98lV85iEu6op6KT4XPUdeSvkE
+zsOEbmrAjQM1IPU+QIo9eh4c+ut3sLRXw4+ed1IchfPgtu3pviDearBlfi/F
+NTUPj28MSJUYIbhze1mKX50GxxzFIhnIUlBe72hNaOF3oW6Fyb/4UtgVu0Cp
+vUyDc9oCIiZ6pdDbQ2y7f40GHUdeL58qKwEFhW+/mpxp+F7G5VXgWwx8fF3l
+T1JowJ9GN6hVKIQfuZqL2vgc3GIbacxZKYDWi20HxQrwO7LcRH13fgF4eja+
+60GYHex73ooWAEGrdDvUj+t80PaeLF8+1NamK37ZQMBoVY7zxq25EGsu7lK4
+kYDjAleFs/py4PHvpAK/LQRIbtFoZovMAUnJhH3SQlgnW54Kr+7JgaioCJZg
+/E7jN2xc5+WSBY4neRWsZLCO9TJOK2zKAq3OEKczCvje/10yoDA+E5hZAmdm
+1HHfG0+9MdOWAfb2z1vOXSego/fB0VC5dLjEybR++21sP1oySng4DcRS3OXo
+NljHvveHFbilwfDwk8wo/O4i1VgSxFtSocRp5dt9d6xLTCaRux+mwmueRztV
+XhAQpzb6W0c4FVRU7QMXcR++JY4OvHFJgb0T9IZm3MdZz0RbVR1OgTXXewzx
+77B/QYI0w/FkKCi4df9SKvb/+qsXXHrJEKg5mboX61QH+jiuxpUMd2avj61g
+HVOwLdpz+GMSCO8y103CuupNWTh9WD8JdI6PS5hj3XYfitrrKZQEL1Ss1vP/
+d29t+ZiZME2FUpO5oY5OvN5EmkxcERXm7WwLffpx/K8OvPN7SQXh5wv+54bx
+eukPdzkaU0Hn7aObf8YIuHqM//n9Y1R4nvlHvmAa61wMJ0cQBxVKqt347hE4
+nvGFjyZmKDDXy0TuW8T23gls8WymwM7Zl40jKwSkhBZluGRRQHttY3z4v//i
+fy97+g0FnnEHOWttoMPoq2qeQXcKFO/fqsO2kQ7u32Rtb9tSYFYm4kANFx3s
+MgWWUy0oIKQpyPSElw4M3af98q9QQOt63KCUIB0UlM/lvdOmgNcjkYL53XTg
+d96V5q5JgSK/lFdUUTpEONXfdsDjM7EHLc0O0WE59EzqGzxfsCDnDJ8UHeK4
+OGb+YPuajcd5O6TpMH1zwfmDHQU8h0oIb3k6lHBzPGd9RoFCUq7hrBK2x6+0
+dTqKAtPrq2N/q2L/virvcC+hwI7tyk75mnSQvNLmTv9EAY1DTVrW+th+5H2x
+ywxU8Dh7SXyfMR1UNs2u9khQoUC/k3HkKh066L2//U2oMHVb/3PYTTqISW6S
+o7ymgoDrpzxNazpo1fgwybVT4dJrUz9WezqQh4ebHm9OAvekr9erH9GBq8NM
+7eXlJMgvs5R7/JQOrE0rQT6JSTDZPrNVyosOKZ5lrOlLSbB9/B5tzhuvJ73L
+j1crGS7++l5HCaBD0F+wW85OBreNjjGmoXQw8KiPdeVNgTzh3468kXSQnphM
+GPBKAX6VdWLeVOyfyI+x9gepoG7yguFsOt5f6GO27qVUcLVj/7SSg+N/+dS6
+Jx5pMBHB7Xv3Pc6nq/b26Kx04MsMuyZSg9eLPv3MXzsD1KoFZIcbsD/yZUE8
+fzMgZ2bPvEY3HXb9tXw5bZMF43+Talk+4Xi3H/b9cCIbeLkl3lWN0KGWTbpr
+H1MOuMhIaRybxfE2/5zbNpYD2RrF++fodBA5WhXjZZQLY9dk/yX+pIOL/tqj
+gv5coNTtHvBlIKF1v1GH/HgeVH68rmTFRIK3rNzplfv50P+JmqPITMLAm4hI
+krkA2Alxn9WNJEhnnXC0UyoEO95jMjZ8JDQO33OX3VoCY4zrR7wFSIhAf2Ji
+50tAn9brkSiE7Y1Os5S2l4JMrXPTwF4SSN0zJ+JzyyEzW/3ewn4SFPSSElXy
+38OuKKEtmw6QwND/8fGVqgrYYF9toChJgpMFDvgaAifTkFVTKRJqefM6HCOq
+YFbFMs7pJAmrvffCzKSroUOYbTpTjoSrqm+V8/xrILJN6xG/Kgkixs+jTkXU
+AUfpnh1SF0kQm5UL33O/Htwoi5WXNEm4ke3eNqLbADeeRDB76ZOgNdho+Vm6
+Cfpv3kl7Z0BCzsYT1qflmkFNR06jxJgE96CKujT1FjgiPvqGZkHCq3C9LI/g
+NkjYmnea1RKzy9L9W03tsJXh2fCeWyR8PldqJcXaAb/7RPcZ2JAwGfZzXb5V
+J1jXrDTa3ydh+pL+mfciXfAls8Xa/yEJl2lK8pZzXVD7zLag5jEJaeuKIk5E
+9MBJu7MGw0/x+odYO3rceiHVmGf1lzsJYX3pKSwP+yDgWLHioZckXGQ0n1p5
+PgCMO32mLvji/eTz6U1QP8FDNmO/a/4kWK9UClT1fQbD0bWu8BASfK30Nu+6
+PwytLR2OeWE4H1POSutGRkC+OEGg7S0JMyeni7SOjkJuwsPKqWi8futZiUSG
+ryAScP7aujgSKqIdfiqPfIUwZ35moUQS7sMh5+KWMWCznE09lUTCRLnGYE7z
+OBCyAd+tM0gI957yDNkwCVdFr755mU1CULA3Z/j5KejmPnY6IY+EgvZu/xeJ
+03B+jWn4fSEJL2NMpS7vmoWSmV73/hISAj3orH343iHRmyzyo5yEA0WTzkv+
+NIipcm7kQCRE1jDrH3iF+0CGurVoDQkJX2Lf/xkjwStciOtcHQlr0203Zvf+
+gCVPer5JIwns9zb3XwhegFs21VcetZCgFjTN8unkTxg0DPkT3E5CNsOxnev2
+/wINZcvYjE4S7lb8rUp5vAJVkqcUG3pIMKAcu5d9exWkBNmmvvaTUKjKKXP5
+NIMC7727iYMDJPz/9wn/AwuAJxY=
+ "]],
+ LineBox[{{1.0595263607808032`, 2.1393309658882034`}, {1.059878524911332,
+ 1.9448009676066307`}, {1.0605856746328262`, 1.5500988704980778`}, {
+ 1.0612928243543203`, 1.165475950656236}, {1.0619999740758144`,
+ 0.800176943925197}, {1.0627071237973085`, 0.4597076198607798}, {
+ 1.0634142735188026`, 0.14686085288614847`}, {
+ 1.0641214232402967`, -0.13746171519268213`}, {
+ 1.0648285729617908`, -0.3936243612416678}, {
+ 1.0655357226832851`, -0.6227979867274704}, {
+ 1.0662428724047794`, -0.8266320573551456}, {
+ 1.0669500221262735`, -1.0070260718038397`}, {
+ 1.067232191136267, -1.070450115939704}}],
+ LineBox[{{-1.0672300078897197`, -1.070450115939704}, \
+{-1.0666171256950465`, -0.9248982701527451}, {-1.065852386624218, \
+-0.7170988630922921}, {-1.0650876475533892`, -0.48063850496315}, \
+{-1.0643229084825605`, -0.21327852297959726`}, {-1.0635581694117318`,
+ 0.08669002004392734}, {-1.062793430340903,
+ 0.4200122263239171}, {-1.0620286912700745`,
+ 0.7858402674729437}, {-1.061263952199246,
+ 1.180850141912757}, {-1.0604992131284172`,
+ 1.598050368480934}, {-1.0597344740575885`,
+ 2.0252666392026404`}, {-1.0595258564181413`, 2.1393309658882034`}}]},
+ Annotation[#, "Charting`Private`Tag$17374#1"]& ]}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}},
+ GridLines->{{{1.05, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}, {-1.05, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}}, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 2, 2][#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 2, 2][#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-1.1500000000000001`,
+ 1.1500000000000001`}, {-1.070450115939704, 2.1393309658882034`}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.7137868428211517`*^9, {3.713786876436328*^9, 3.713786880892294*^9}, {
+ 3.713786911917448*^9, 3.713786975636983*^9}, {3.713787043580344*^9,
+ 3.713787054112487*^9}, {3.713787091884612*^9, 3.7137871303293543`*^9}, {
+ 3.7137873441971073`*^9, 3.713787366054718*^9}, {3.713787744869535*^9,
+ 3.713787772248114*^9}, {3.713787873953774*^9, 3.713787896994111*^9}, {
+ 3.7137880959645233`*^9, 3.7137881089961042`*^9}, 3.71378817266117*^9, {
+ 3.713788840185727*^9, 3.713788843629333*^9}, {3.713792008614105*^9,
+ 3.713792022973323*^9}, 3.713792277625108*^9, {3.7137944343878193`*^9,
+ 3.71379443703505*^9}, {3.713796880744809*^9, 3.713796888383353*^9}, {
+ 3.7137969255232973`*^9, 3.713796927819489*^9}, 3.71379718348458*^9, {
+ 3.713827434665268*^9, 3.713827443488235*^9}, 3.713827482758223*^9, {
+ 3.71382758304496*^9, 3.7138276201271763`*^9}, {3.714131200316176*^9,
+ 3.7141312045699244`*^9}, {3.714131254215077*^9, 3.714131277251986*^9},
+ 3.7141317655386057`*^9, {3.714132521860244*^9, 3.714132531422585*^9},
+ 3.714132594800725*^9, {3.714133724809444*^9, 3.714133751537928*^9}, {
+ 3.714135421393217*^9, 3.714135451507843*^9}, {3.71413567570129*^9,
+ 3.7141356998242083`*^9}, {3.714135733025814*^9, 3.7141357840691547`*^9},
+ 3.714136120052483*^9, {3.7141366600281467`*^9, 3.7141366864873533`*^9}, {
+ 3.7141377784504833`*^9, 3.7141377991028967`*^9}, 3.7141379539677057`*^9,
+ 3.714138050818244*^9, {3.714138200060054*^9, 3.7141382064545603`*^9},
+ 3.7142171461980963`*^9, 3.7142188447804413`*^9, 3.714222440250145*^9, {
+ 3.714222657733528*^9, 3.714222683268447*^9}, {3.714224133382696*^9,
+ 3.7142241549538307`*^9}, 3.714225354640583*^9, 3.714225714002556*^9,
+ 3.714227554313645*^9, 3.714227908653563*^9, 3.71422857576338*^9,
+ 3.714228696834524*^9, 3.71422909104809*^9, 3.714229141599442*^9,
+ 3.7142292486960983`*^9, {3.714230780456892*^9, 3.714230785679422*^9}, {
+ 3.7142308157519608`*^9, 3.714230828606016*^9}, 3.7142340780685377`*^9, {
+ 3.714234224600782*^9, 3.714234237139142*^9}, 3.714234307673902*^9,
+ 3.7142348074233837`*^9, 3.714235165607085*^9, {3.714235516500855*^9,
+ 3.714235522453641*^9}, 3.714236139343099*^9, 3.714236584602807*^9, {
+ 3.714236988918172*^9, 3.7142370367497597`*^9}, 3.7142370714636*^9,
+ 3.7142376591520367`*^9, {3.714237870020213*^9, 3.714237895967791*^9}, {
+ 3.714238448121496*^9, 3.714238456602359*^9}, 3.7142394684451733`*^9,
+ 3.714309360427518*^9, 3.714309402834841*^9, 3.7143096565203533`*^9,
+ 3.714309861928784*^9, 3.7143102830457172`*^9, 3.7143103257857637`*^9,
+ 3.714310775319235*^9, 3.714806815543325*^9, 3.714806849086192*^9,
+ 3.714807553716565*^9, 3.714807649722921*^9, {3.714808230981045*^9,
+ 3.714808254462695*^9}, 3.714808793742247*^9, 3.714809138986257*^9,
+ 3.714830785720742*^9, 3.714830886934681*^9, 3.7148331393766127`*^9,
+ 3.7148332410195704`*^9, 3.7148351822386923`*^9, 3.714836338517501*^9,
+ 3.714836531227767*^9, 3.714837257692141*^9, 3.714837313927657*^9,
+ 3.714837548981167*^9, {3.714837733053969*^9, 3.714837766917502*^9},
+ 3.714837990449766*^9, 3.714839543879813*^9, 3.714839594809494*^9,
+ 3.714839638140133*^9, 3.7148400373705463`*^9, 3.714840760440144*^9, {
+ 3.714993310800767*^9, 3.714993323253458*^9}, 3.714993372215808*^9},
+ ExpressionUUID -> "97d1851a-3242-4f1c-97e1-e01f3c525efa"]
+}, Open ]],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"func3", "=",
+ RowBox[{"Sort", "@",
+ RowBox[{"(",
+ RowBox[{"Reverse", "/@",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{"h0", " ",
+ RowBox[{
+ RowBox[{
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], "[",
+ "\[Theta]", "]"}], "/",
+ SuperscriptBox[
+ RowBox[{"Abs", "[",
+ RowBox[{"1", "-",
+ SuperscriptBox["\[Theta]", "2"]}], "]"}],
+ "\[CapitalDelta]"]}]}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "1.0001", ",",
+ RowBox[{
+ RowBox[{"\[Theta]c", "-", "0.0001"}], "/.", "sol3"}], ",",
+ "0.0001"}], "}"}]}], "]"}]}], ")"}]}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.7142298098317432`*^9, 3.7142298123326817`*^9}, {
+ 3.7142298482193117`*^9, 3.714229970202634*^9}, {3.714230013902568*^9,
+ 3.714230017096983*^9}, {3.7142301874072742`*^9, 3.714230189981579*^9}, {
+ 3.7142343285785217`*^9, 3.71423437965909*^9}, {3.714234573883163*^9,
+ 3.7142345750236673`*^9}, {3.7142355328610573`*^9, 3.714235539168882*^9}, {
+ 3.71483756248528*^9, 3.714837563468934*^9}, {3.7148396198220043`*^9,
+ 3.71483962069382*^9}, {3.714993381843835*^9, 3.7149933950922737`*^9}},
+ ExpressionUUID -> "a99c4b04-e2fc-47f6-b2d9-7e135d28c474"],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Show", "[",
+ RowBox[{
+ RowBox[{"ListLogLogPlot", "[", "sus", "]"}], ",",
+ RowBox[{"ListLogLogPlot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[", "1", "]"}], "]"}], ",",
+ RowBox[{
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "2"], "-", "1"}], ")"}],
+ RowBox[{"7", "/", "4"}]],
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]f", "[",
+ RowBox[{"A", ",", "B", ",", "h0", ",", "\[Theta]c", ",",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}],
+ "[",
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "]"}]}], "/.", "sol3"}]}], "}"}],
+ "&"}], "/@", "func3"}], ",",
+ RowBox[{"Joined", "\[Rule]", "True"}], ",",
+ RowBox[{"PlotStyle", "\[Rule]", "Green"}]}], "]"}], ",",
+ RowBox[{"LogLogPlot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ",", "B"}], "]"}], "[", "X",
+ "]"}], ",",
+ RowBox[{"{",
+ RowBox[{"X", ",", "0.001", ",", "1000"}], "}"}], ",",
+ RowBox[{"PlotStyle", "\[Rule]", "Red"}]}], "]"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7142246940999937`*^9, 3.714224710004931*^9}, {
+ 3.714224744516198*^9, 3.714224747961575*^9}, {3.714228221815777*^9,
+ 3.714228223946136*^9}, {3.7142301107700567`*^9, 3.714230113937529*^9}, {
+ 3.714231178048731*^9, 3.714231307094479*^9}, {3.71423525344033*^9,
+ 3.714235256372561*^9}, {3.714235546552792*^9, 3.714235548823525*^9}, {
+ 3.714807568353258*^9, 3.714807568561453*^9}, {3.7148331605531673`*^9,
+ 3.7148331610481462`*^9}, {3.714993392582136*^9, 3.714993397619061*^9}},
+ ExpressionUUID -> "4e74b80b-977c-430d-ab65-c2516c21c4d2"],
+
+Cell[BoxData[
+ GraphicsBox[{{{}, {{},
+ {RGBColor[0.368417, 0.506779, 0.709798], PointSize[
+ 0.0055000000000000005`], AbsoluteThickness[1.6],
+ PointBox[CompressedData["
+1:eJwVl3k8FW8bxq3Hdubsx7GTrUiorIkZ0mJNJLRIKipUWixtRKEUUZbKD6Gy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+
+ "]]}, {}}, {}, {}, {{}, {}}}, {{}, {{}, {},
+ {RGBColor[0, 1, 0], PointSize[0.007333333333333334], AbsoluteThickness[
+ 1.6], LineBox[CompressedData["
+1:eJwVl3c8198Xx+09Pnv5fHyGPYtKSvU+laZE0VJZLRQVJaFCw0iFKL6JpCQl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+ "]]}}, {}, {}, {{}, {}}}, {{{}, {},
+ TagBox[
+ {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.],
+ LineBox[CompressedData["
+1:eJwt2Xk4VO8XAHD7PjN2M3NlmUqlkJAtTBRSKRSyZckaIVuKVLZIRSmhxRZR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+ "]]},
+ Annotation[#, "Charting`Private`Tag$25762#1"]& ]}, {}, {}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{-6.271123276191262, -9.801059382476273},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledFrameTicks[{Log, Exp}]}, {
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledFrameTicks[{Log, Exp}]}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{"CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Part[{{Log, Exp}, {Log, Exp}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Log, Exp}, {Log, Exp}}, 2, 2][#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Part[{{Log, Exp}, {Log, Exp}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Log, Exp}, {Log, Exp}}, 2, 2][#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-6.066242635851156,
+ 7.046118345915609}, {-9.701519868618796, -3.530070009455368}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.02],
+ Scaled[0.05]}},
+ Ticks->FrontEndValueCache[{
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledTicks[{Log, Exp}]}, {{{-4.605170185988091,
+ FormBox["0.01`", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.3025850929940455`,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.10\"", 0.1, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 2.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {0.,
+ FormBox["1", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.302585092994046,
+ FormBox["10", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.605170185988092,
+ FormBox["100", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.907755278982137,
+ FormBox["1000", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.907755278982137,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.809142990314028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.521460917862246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.298317366548036,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.115995809754082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.961845129926823,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.8283137373023015`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.710530701645918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.506557897319982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.2188758248682006`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.8134107167600364`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.659260036932778,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.5257286443082556`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.4079456086518722`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.2039728043259361`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.916290731874155,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.5108256237659907,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.35667494393873245`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.2231435513142097,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.10536051565782628`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.0986122886681098`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.3862943611198906`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.791759469228055,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.9459101490553132`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.0794415416798357`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.1972245773362196`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {3.4011973816621555`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {3.6888794541139363`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.0943445622221,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.248495242049359,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.382026634673881,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.499809670330265,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {5.298317366548036,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {5.703782474656201,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {5.991464547107982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.396929655216146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.551080335043404,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.684611727667927,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.802394763324311,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {7.600902459542082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.006367567650246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.294049640102028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.517193191416238,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.699514748210191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.85366542803745,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.987196820661973,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.104979856318357,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.210340371976184,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.305650551780507,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.392661928770137,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.472704636443673,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.546812608597396,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.615805480084347,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.680344001221918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}}, {{-9.210340371976182,
+ FormBox[
+ TemplateBox[{"\[Times]", "\"\[Times]\"", "1.`",
+ TemplateBox[{"10",
+ RowBox[{"-", "4"}]}, "Superscript", SyntaxForm ->
+ SuperscriptBox]}, "RowWithSeparators"], TraditionalForm], {0.01,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.600902459542082,
+ FormBox[
+ TemplateBox[{"\[Times]", "\"\[Times]\"", "5.`",
+ TemplateBox[{"10",
+ RowBox[{"-", "4"}]}, "Superscript", SyntaxForm ->
+ SuperscriptBox]}, "RowWithSeparators"], TraditionalForm], {0.01,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.907755278982137,
+ FormBox["0.001`", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.298317366548036,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.005\"", 0.005, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.605170185988091,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.010\"", 0.01, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.903487552536127,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.721165995742174,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.567015315914915,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.433483923290392,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.315700887634009,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-8.517193191416238,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-8.111728083308073,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.824046010856292,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.418580902748128,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.264430222920869,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.1308988302963465`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.013115794639964,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.809142990314028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.521460917862246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.115995809754082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.961845129926823,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.8283137373023015`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.710530701645918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.506557897319982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.2188758248682006`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.8134107167600364`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.659260036932778,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.5257286443082556`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.4079456086518722`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.3025850929940455`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.8971199848858813`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.3862943611198906`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}}}]]], "Output",
+ CellChangeTimes->{{3.714231218528014*^9, 3.714231255059382*^9}, {
+ 3.7142313035157547`*^9, 3.714231308612544*^9}, 3.714231351814006*^9,
+ 3.714235201839225*^9, 3.714235263324795*^9, 3.7142355579614763`*^9,
+ 3.7142361528419724`*^9, 3.714236603635973*^9, 3.71423707986604*^9,
+ 3.7142376665939217`*^9, 3.714237904669828*^9, 3.714238469686336*^9,
+ 3.714239486913962*^9, 3.7143096688288794`*^9, 3.714309882196475*^9,
+ 3.7143102980925283`*^9, 3.7143103387980967`*^9, 3.714310788182613*^9, {
+ 3.7148075632639427`*^9, 3.714807570439906*^9}, 3.714807654527153*^9,
+ 3.714808257639398*^9, 3.714808797213571*^9, 3.714809145326331*^9,
+ 3.714830887652412*^9, {3.7148331530408792`*^9, 3.714833162206613*^9},
+ 3.714835185025297*^9, 3.7148363566927433`*^9, 3.7148365448388443`*^9,
+ 3.7148372620837307`*^9, 3.714837316260206*^9, {3.7148375560333223`*^9,
+ 3.714837566324032*^9}, 3.7148377355398607`*^9, 3.714837769146194*^9,
+ 3.714837997802803*^9, 3.714839546849403*^9, 3.714839598429822*^9,
+ 3.714839641354657*^9, 3.714840047372992*^9, 3.714840761409927*^9, {
+ 3.71499340791967*^9, 3.714993419710197*^9}},
+ ExpressionUUID -> "3a7112a4-3f43-48e2-ba15-b6b3516025f4"]
+}, Open ]],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"i1", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{"i", ",", "1"}], "]"}], "]"}], "+",
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{
+ RowBox[{"i", "+", "1"}], ",", "1"}], "]"}], "]"}]}], ")"}],
+ "/", "2"}], ",",
+ RowBox[{
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{
+ RowBox[{"i", "+", "1"}], ",", "1"}], "]"}], "]"}], "-",
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{"i", ",", "1"}], "]"}], "]"}]}], ")"}],
+ RowBox[{
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{"i", ",", "2"}], "]"}], "]"}], "+",
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{
+ RowBox[{"i", "+", "1"}], ",", "2"}], "]"}], "]"}]}], ")"}],
+ "/", "2"}]}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"i", ",", "1", ",",
+ RowBox[{
+ RowBox[{"Length", "[", "#", "]"}], "-", "1"}]}], "}"}]}], "]"}],
+ "&"}], "@",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[", "1", "]"}], "]"}], ",",
+ RowBox[{
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "2"], "-", "1"}], ")"}],
+ RowBox[{"7", "/", "4"}]],
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]f", "[",
+ RowBox[{"A", ",", "B", ",", "h0", ",", "\[Theta]c", ",",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}],
+ "[",
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "]"}]}], "/.", "sol3"}]}], "}"}],
+ "&"}], "/@", "func3"}], ")"}]}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.714253437402265*^9, 3.7142534724354877`*^9}, {
+ 3.714253563304009*^9, 3.714253722476042*^9}, {3.714254476615617*^9,
+ 3.71425448002065*^9}, {3.71425510271012*^9, 3.714255104325654*^9}, {
+ 3.714393591583131*^9, 3.714393604335699*^9}, {3.714831650720236*^9,
+ 3.7148316512479*^9}, {3.714907226585177*^9, 3.7149072267446632`*^9}, {
+ 3.714993456613233*^9, 3.7149934594500313`*^9}},
+ ExpressionUUID -> "d6b8c89a-a3b9-4e30-b7cd-5b974a88b162"],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"i2", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"0", ",", "\[ScriptCapitalM]0"}], "}"}], "+",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{"i", ",", "1"}], "]"}], "]"}], ",",
+ RowBox[{"Total", "[",
+ RowBox[{"Take", "[",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[",
+ RowBox[{"All", ",", "2"}], "]"}], "]"}], ",",
+ RowBox[{"i", "-", "1"}]}], "]"}], "]"}]}], "}"}]}], ",",
+ RowBox[{"{",
+ RowBox[{"i", ",", "1", ",",
+ RowBox[{"Length", "[", "#", "]"}]}], "}"}]}], "]"}], "&"}], "@",
+ "i1"}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.714253865375753*^9, 3.714253984848627*^9}, {
+ 3.714254040978917*^9, 3.7142540416013527`*^9}},
+ ExpressionUUID -> "7b49aa4d-971d-4f27-8504-e3da44463eae"],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Show", "[",
+ RowBox[{
+ RowBox[{"ListPlot", "[",
+ RowBox[{"i2", ",",
+ RowBox[{"Joined", "\[Rule]", "True"}], ",",
+ RowBox[{"PlotRange", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"0", ",", "1300"}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"1.22", ",", "1.75"}], "}"}]}], "}"}]}], ",",
+ RowBox[{"PlotStyle", "\[Rule]", "Red"}]}], "]"}], ",",
+ RowBox[{"ListPlot", "[",
+ RowBox[{"mag", ",",
+ RowBox[{"PlotRange", "\[Rule]", "All"}]}], "]"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.714253985859055*^9, 3.714254037488164*^9}, {
+ 3.714254070388905*^9, 3.714254079756922*^9}, {3.7142541248098183`*^9,
+ 3.7142541467481003`*^9}, {3.7142545552141523`*^9, 3.714254573165605*^9}, {
+ 3.714254614496805*^9, 3.714254694969582*^9}},
+ ExpressionUUID -> "18b3cc6d-6bb0-45ea-975f-42b781072e2d"],
+
+Cell[BoxData[
+ GraphicsBox[{{{}, {{}, {},
+ {RGBColor[1, 0, 0], PointSize[0.007333333333333334], AbsoluteThickness[
+ 1.6], LineBox[CompressedData["
+1:eJwdV3c8l+/3lhWJkFGiUEaSXWSdQ3bIzkrIyIjMjMhKkVWEZEQSUkplpSVS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+
+ "]]}}, {}, {}, {{}, {}}}, {{}, {{},
+ {RGBColor[0.368417, 0.506779, 0.709798], PointSize[
+ 0.0055000000000000005`], AbsoluteThickness[1.6],
+ PointBox[CompressedData["
+1:eJw9l3k4Vd37xilK9cqYBiWJkClDpfFZhjJlSBIqISWFzDIWGUPGRMkYEqXI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+
+ "]]}, {}}, {}, {}, {{}, {}}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 1.22265},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{"CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 2, 2][#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 2, 2][#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{0, 1300}, {1.22, 1.75}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{0, 0}, {0, 0}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{{3.71425398741232*^9, 3.714254043061407*^9},
+ 3.714254073991085*^9, {3.714254126500804*^9, 3.714254147404223*^9},
+ 3.7142544887654533`*^9, {3.714254561554706*^9, 3.714254573654634*^9}, {
+ 3.7142546289379387`*^9, 3.714254695623906*^9}, 3.7142551123343973`*^9,
+ 3.714393618323738*^9, 3.714393685657749*^9, 3.7143937466169157`*^9, {
+ 3.714393777573605*^9, 3.714393805653455*^9}, 3.714806784231585*^9,
+ 3.7148074572881613`*^9, 3.7148087044817057`*^9, 3.714809089134021*^9,
+ 3.714830502018724*^9, {3.714831646222509*^9, 3.714831657107243*^9},
+ 3.714831800997868*^9, 3.714833060836087*^9, 3.714835117877409*^9,
+ 3.714836054773896*^9, 3.714836332648387*^9, 3.7148363942998753`*^9,
+ 3.714837437799202*^9, {3.7148377294221067`*^9, 3.714837756637006*^9},
+ 3.7148395004069643`*^9, {3.714839931209778*^9, 3.714839993182786*^9},
+ 3.714840024665745*^9, 3.714840757038682*^9, 3.714862653542861*^9,
+ 3.714862705599581*^9, 3.714862745224374*^9, 3.714863066907661*^9,
+ 3.714863181424206*^9, 3.7148658178761387`*^9, 3.714865878050193*^9,
+ 3.714865942261485*^9, 3.7148661039636803`*^9, {3.714906907358387*^9,
+ 3.714906969499626*^9}, 3.71490723455093*^9, 3.714992966088601*^9,
+ 3.714993481659814*^9},
+ ExpressionUUID -> "71a9fad2-143b-43ac-8649-5106684e09fa"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"ysave2", "=",
+ RowBox[{"ysave", "/.", "sol3"}]}]], "Input",
+ CellChangeTimes->{{3.71475673834993*^9, 3.714756740732313*^9}, {
+ 3.7147569906872168`*^9, 3.714756991974579*^9}, {3.714806857716696*^9,
+ 3.714806857835928*^9}, {3.714807724394093*^9, 3.714807731714316*^9}, {
+ 3.714808955303514*^9, 3.714808965343062*^9}, {3.714809205035985*^9,
+ 3.714809220747414*^9}, {3.714862687354288*^9, 3.714862687465536*^9}, {
+ 3.714907237151805*^9, 3.714907237239294*^9}, {3.714993483623148*^9,
+ 3.7149934837930403`*^9}},
+ ExpressionUUID -> "da020005-5c91-4bb8-887c-1d4380b5f69e"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{
+ "1.375316580431459`", ",", "157.26733967214577`", ",",
+ "17587.593919058072`", ",", "2.397575531637055`*^6", ",",
+ "3.9211756957722855`*^8", ",", "7.481810510642093`*^10", ",",
+ "1.6315074220225318`*^13", ",", "4.002431660584683`*^15"}],
+ "}"}]], "Output",
+ CellChangeTimes->{
+ 3.7147567410585213`*^9, 3.714756992546587*^9, 3.714806728712491*^9,
+ 3.7148068581872396`*^9, 3.714807676119606*^9, {3.714807724872628*^9,
+ 3.714807733016789*^9}, {3.71480895607714*^9, 3.714808965653799*^9}, {
+ 3.714809205674447*^9, 3.7148092210457706`*^9}, 3.714830981700396*^9,
+ 3.714836844657175*^9, 3.7148626548087378`*^9, {3.714862687908442*^9,
+ 3.714862706469153*^9}, 3.714862746153159*^9, 3.714863067708495*^9,
+ 3.714863182871723*^9, 3.714865818728653*^9, 3.714865878213573*^9,
+ 3.7148659430201674`*^9, 3.714866105039057*^9, {3.7149069103027678`*^9,
+ 3.714906970443513*^9}, 3.7149072376029587`*^9, 3.714992967875737*^9,
+ 3.714993485758379*^9},
+ ExpressionUUID -> "717725d5-0856-46d3-817b-263603c648f6"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"yy2", "=",
+ RowBox[{
+ RowBox[{"yy1", "/.",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"Derivative", "[", "m_", "]"}], "[", "y", "]"}], "[", "_",
+ "]"}], "\[RuleDelayed]",
+ RowBox[{
+ RowBox[{"m", "!"}],
+ RowBox[{"ysave2", "[",
+ RowBox[{"[",
+ RowBox[{"m", "+", "1"}], "]"}], "]"}]}]}], ",",
+ RowBox[{
+ RowBox[{"y", "[", "x_", "]"}], "\[RuleDelayed]",
+ RowBox[{"ysave2", "[",
+ RowBox[{"[", "1", "]"}], "]"}]}]}], "}"}]}], "/.",
+ "sol3"}]}]], "Input",
+ CellChangeTimes->{{3.714757114551498*^9, 3.714757118639502*^9}, {
+ 3.714806862165043*^9, 3.714806862268298*^9}, {3.714807726915642*^9,
+ 3.7148077351230183`*^9}, {3.714808950711543*^9, 3.714808968350219*^9}, {
+ 3.7148092086525373`*^9, 3.714809223996085*^9}, {3.7148626846920967`*^9,
+ 3.71486268497886*^9}, {3.714907240520297*^9, 3.714907240607555*^9}, {
+ 3.714993486410479*^9, 3.714993486532962*^9}},
+ ExpressionUUID -> "03dc4111-b9dd-47fc-9035-d84fc2a5c657"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{"0.025536974521879207`", ",",
+ RowBox[{"-", "0.017621558207938225`"}], ",", "0.01796105481881261`", ",",
+ RowBox[{"-", "0.021459581002106633`"}], ",", "0.02795086215708034`", ",",
+ RowBox[{"-", "0.03857509263687248`"}]}], "}"}]], "Output",
+ CellChangeTimes->{
+ 3.71477901399013*^9, 3.71480673059066*^9, 3.714806862701418*^9,
+ 3.714807677595922*^9, {3.714807727445833*^9, 3.7148077355298643`*^9}, {
+ 3.714808951442019*^9, 3.7148089686904917`*^9}, {3.714809209175044*^9,
+ 3.7148092244041224`*^9}, 3.714830983386425*^9, 3.714836848165016*^9,
+ 3.7148626556760397`*^9, {3.714862688599277*^9, 3.714862707425961*^9},
+ 3.714862747017076*^9, 3.714863068275054*^9, 3.714863184422464*^9,
+ 3.7148658196662607`*^9, 3.714865878928565*^9, 3.714865943943626*^9,
+ 3.7148661057452383`*^9, {3.714906910760145*^9, 3.71490697150111*^9},
+ 3.714907241156765*^9, 3.714992970455934*^9, 3.7149934888284607`*^9},
+ ExpressionUUID -> "34fcb4d2-7596-4831-9eb3-4fbb87b916bd"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"ListLogPlot", "[",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"Abs", "[",
+ RowBox[{
+ RowBox[{"-",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"#",
+ RowBox[{"(",
+ RowBox[{"#", "+", "1"}], ")"}]}], "&"}], "/@",
+ RowBox[{"Range", "[", "7", "]"}]}], ")"}]}],
+ RowBox[{
+ RowBox[{"Gs2Cs", "[", "Gls", "]"}], "[",
+ RowBox[{"[",
+ RowBox[{"2", ";;"}], "]"}], "]"}]}], "]"}], ",",
+ RowBox[{"Abs", "[", "yy2", "]"}], ",",
+ RowBox[{"Abs", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]series", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ",", "B"}], "]"}], "[", "#",
+ "]"}], "&"}], "/@",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{"Range", "[", "7", "]"}], "-", "1"}], ")"}]}], "]"}]}], "}"}],
+ "]"}]], "Input",
+ CellChangeTimes->{{3.714397130193721*^9, 3.714397156754507*^9}, {
+ 3.714401420222559*^9, 3.714401435542419*^9}, {3.7147400059859953`*^9,
+ 3.714740013317212*^9}, {3.714779019835246*^9, 3.7147790236354313`*^9}, {
+ 3.7148070307042303`*^9, 3.714807066415826*^9}, {3.715410919987694*^9,
+ 3.715410942996112*^9}},ExpressionUUID->"c32f25a4-7a80-4c63-8b1a-\
+2597dcbbcf5f"],
+
+Cell[BoxData[
+ GraphicsBox[{{{}, {{{}, {
+ {RGBColor[0.368417, 0.506779, 0.709798], PointSize[
+ 0.012833333333333334`], AbsoluteThickness[1.6],
+ PointBox[{{1., -3.667627895775339}, {2., -4.038632228335453}, {
+ 3., -4.019549486272981}, {4., -3.7580558967360895`}, {
+ 5., -3.3147273608071934`}, {6., -2.722685060186863}, {
+ 7., -2.0035229726701376`}}]}}, {
+ {RGBColor[0.880722, 0.611041, 0.142051], PointSize[
+ 0.012833333333333334`], AbsoluteThickness[1.6],
+ PointBox[{{1., -3.6676278957753405`}, {2., -4.038632228335462}, {
+ 3., -4.019549486273023}, {4., -3.8007379117331324`}, {
+ 5., -3.471836553625291}, {6., -3.0745354650967824`}, {
+ 7., -2.6294432071859983`}}]}}, {
+ {RGBColor[0.560181, 0.691569, 0.194885], PointSize[
+ 0.012833333333333334`], AbsoluteThickness[1.6],
+ PointBox[{{1., -3.6676278957753397`}, {2., -4.646668183716103}, {
+ 3., -5.338026399205085}, {4., -5.806241063379858}, {
+ 5., -6.0921341707606755`}, {6., -6.223876598314235}, {
+ 7., -6.222087633243273}}]}}, {}}}, {}, {}, {{}, {}}, {{}, {}}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0., -6.530192587272277},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledFrameTicks[{Log, Exp}]}, {Automatic, Automatic}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{"CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Exp[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Exp[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{0., 7.}, {-6.458340688627797, -2.0035229726701376`}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.02],
+ Scaled[0.05]}},
+ Ticks->FrontEndValueCache[{Automatic,
+ Charting`ScaledTicks[{Log, Exp}]}, {Automatic, {{-5.298317366548036,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.005\"", 0.005, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.605170185988091,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.010\"", 0.01, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.995732273553991,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.050\"", 0.05, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.3025850929940455`,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.100\"", 0.1, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.907755278982137,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.809142990314028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.521460917862246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.115995809754082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.961845129926823,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.8283137373023015`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.710530701645918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.506557897319982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.2188758248682006`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.8134107167600364`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.659260036932778,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.5257286443082556`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.4079456086518722`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.2039728043259361`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.916290731874155,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.5108256237659907,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.35667494393873245`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.2231435513142097,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.10536051565782628`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {0.,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {0.4054651081081644,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}}}]]], "Output",
+ CellChangeTimes->{
+ 3.714740013729273*^9, {3.714779016092326*^9, 3.714779023940958*^9},
+ 3.714806732903997*^9, 3.7148068647733803`*^9, 3.714807066951405*^9,
+ 3.714807678966655*^9, {3.7148077287353783`*^9, 3.7148077379434757`*^9}, {
+ 3.7148089588534193`*^9, 3.714808970053562*^9}, {3.7148092108135777`*^9,
+ 3.714809225878975*^9}, 3.714830983950481*^9, 3.714836849826356*^9,
+ 3.714862656238078*^9, {3.7148626890323343`*^9, 3.7148627076539173`*^9},
+ 3.714862747461363*^9, 3.714863068753543*^9, 3.7148631864026203`*^9,
+ 3.7148658203011723`*^9, 3.7148658793114023`*^9, 3.714865944416368*^9,
+ 3.714866106227007*^9, {3.7149069109587317`*^9, 3.714906972088879*^9},
+ 3.7149072417429943`*^9, 3.714992971237383*^9, 3.714993489211615*^9, {
+ 3.715410921822714*^9,
+ 3.715410943443071*^9}},ExpressionUUID->"61536490-a6eb-45a4-b4a1-\
+fde12da007c4"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"sol3", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"FindRoot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"eqsl", "[",
+ RowBox[{"[",
+ RowBox[{";;", "3"}], "]"}], "]"}], ",",
+ RowBox[{"eqsm", "[",
+ RowBox[{"[",
+ RowBox[{";;", "2"}], "]"}], "]"}]}], "]"}], "/.", "#"}], ",",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"A", ",",
+ RowBox[{"A", "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"h0", ",",
+ RowBox[{"h0", "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "/.", "sol2"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], ",", "0"}], "}"}]}], "}"}], "+",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"0", ",",
+ RowBox[{"0.1",
+ RowBox[{"RandomReal", "[",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"-", "1"}], ",", "1"}], "}"}], "]"}]}]}], "}"}],
+ "&"}], "/@",
+ RowBox[{"Range", "[", "5", "]"}]}], ")"}]}], ",",
+ RowBox[{"MaxIterations", "\[Rule]", "10000"}]}], "]"}], ",", "#"}],
+ "]"}], "&"}], "@",
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"\[Theta]c", "\[Rule]",
+ RowBox[{"(",
+ RowBox[{"\[Theta]c", "/.", "sol2"}], ")"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}], ",",
+ RowBox[{"\[Lambda]", "\[Rule]", "0"}]}], "}"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"a", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "3", ",", "14"}], "}"}]}], "]"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"b", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "1", ",", "4"}], "}"}]}], "]"}]}],
+ "]"}]}]}]], "Input",
+ CellChangeTimes->{{3.714830189789303*^9, 3.714830247113008*^9}, {
+ 3.714830370291354*^9, 3.7148304020998793`*^9}, {3.714830438333508*^9,
+ 3.714830492396922*^9}, {3.7148315519116983`*^9, 3.714831586639285*^9}, {
+ 3.714831775402582*^9, 3.714831788201981*^9}, {3.714832864307414*^9,
+ 3.714832868444124*^9}, {3.714833031190621*^9, 3.714833044877865*^9}, {
+ 3.714834919749164*^9, 3.71483502667636*^9}, {3.714835128182406*^9,
+ 3.7148351701917686`*^9}, {3.7148352113368464`*^9, 3.714835352474739*^9}, {
+ 3.714836559965501*^9, 3.714836605646779*^9}, {3.714837576200902*^9,
+ 3.714837617815027*^9}, {3.714837653153191*^9, 3.7148376671116743`*^9}, {
+ 3.714837785171094*^9, 3.71483778705781*^9}, {3.714839648216301*^9,
+ 3.7148396831174917`*^9}, {3.714840779370905*^9,
+ 3.714840779569083*^9}},ExpressionUUID->"f04e4a35-a6d1-49b2-80f5-\
+1d47bf0a3a8c"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"A", "\[Rule]", "428.07470599260796`"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "\[Rule]",
+ RowBox[{"-", "0.8833648521629327`"}]}], ",",
+ RowBox[{"h0", "\[Rule]", "127.02030176710423`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "\[Rule]",
+ RowBox[{"-", "1.6709657413815093`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "\[Rule]", "0.6914943496995957`"}], ",",
+ RowBox[{"\[Theta]c", "\[Rule]", "1.03`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}], ",",
+ RowBox[{"\[Lambda]", "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "6", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "7", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "8", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "9", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "10", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "11", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "12", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "13", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "14", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "3", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "4", "]"}], "\[Rule]", "0"}]}], "}"}]], "Output",
+ CellChangeTimes->{
+ 3.714830247999181*^9, {3.71483038021819*^9, 3.714830403949602*^9},
+ 3.71483044066129*^9, {3.714830470737451*^9, 3.714830492622257*^9}, {
+ 3.714831558794394*^9, 3.7148315869655027`*^9}, 3.7148317884986687`*^9,
+ 3.714832869144842*^9, 3.714833045176977*^9, 3.7148349538360643`*^9, {
+ 3.7148349974025383`*^9, 3.71483502734721*^9}, 3.714835170512484*^9, {
+ 3.714835230592574*^9, 3.714835352841935*^9}, {3.7148365788199863`*^9,
+ 3.7148366136714897`*^9}, {3.714837601603104*^9, 3.714837693188035*^9}, {
+ 3.714837736625573*^9, 3.7148377873674917`*^9}, 3.714839683453143*^9, {
+ 3.714840058148574*^9, 3.714840060596877*^9}, {3.714840770115991*^9,
+ 3.714840780422347*^9}},ExpressionUUID->"d4e0a3b8-540b-4799-bd2d-\
+fd3769faf6ae"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]f", "[",
+ RowBox[{"A", ",", "B", ",", "h0", ",", "\[Theta]c", ",",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}], "[",
+ "\[Theta]", "]"}], "/.", "sol3"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Theta]c"}], "-", ".1"}], "/.", "sol3"}], ",",
+ RowBox[{
+ RowBox[{"\[Theta]c", "+", ".1"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"GridLines", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"\[Theta]c", "/.", "sol3"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Theta]c"}], "/.", "sol3"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}]}], "}"}], ",",
+ "None"}], "}"}]}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7137866834085007`*^9, 3.713786686617977*^9}, {
+ 3.7137867217375593`*^9, 3.713786743847704*^9}, {3.7137868182565737`*^9,
+ 3.713786841939253*^9}, {3.713786924527413*^9, 3.713786974385107*^9}, {
+ 3.713787042137055*^9, 3.713787053211768*^9}, {3.7137870901431293`*^9,
+ 3.713787090608033*^9}, {3.713787341082642*^9, 3.713787364514805*^9}, {
+ 3.713787741825034*^9, 3.713787770799416*^9}, {3.713787886687026*^9,
+ 3.7137878869430323`*^9}, {3.7137888266494427`*^9, 3.713788842463161*^9}, {
+ 3.713792007167099*^9, 3.713792021787199*^9}, 3.7137922767568893`*^9, {
+ 3.7137944309219913`*^9, 3.713794435906933*^9}, {3.713796878199485*^9,
+ 3.713796887244006*^9}, {3.713796921081345*^9, 3.713796926722969*^9},
+ 3.7137971807617273`*^9, {3.713827438749836*^9, 3.7138274538037987`*^9}, {
+ 3.713827581712484*^9, 3.713827582113104*^9}, {3.714130909227974*^9,
+ 3.714130935160301*^9}, {3.7141311982159986`*^9, 3.714131202051044*^9}, {
+ 3.7141312471974087`*^9, 3.714131274688491*^9}, {3.714131759254038*^9,
+ 3.714131761606591*^9}, {3.714132524986391*^9, 3.7141325265937033`*^9}, {
+ 3.7141337230994473`*^9, 3.714133746090554*^9}, {3.7141354101671886`*^9,
+ 3.714135444491536*^9}, {3.7141356514052277`*^9, 3.7141357777377653`*^9}, {
+ 3.714136651714642*^9, 3.714136680207863*^9}, {3.714137789157419*^9,
+ 3.714137796981852*^9}, 3.7141379430983753`*^9, {3.714138196842355*^9,
+ 3.714138197292419*^9}, {3.714222654297492*^9, 3.7142226816813173`*^9}, {
+ 3.7142241379694967`*^9, 3.714224153092409*^9}, {3.714230783232278*^9,
+ 3.714230783558969*^9}, {3.714230818213155*^9, 3.714230826884192*^9}, {
+ 3.7142341440952263`*^9, 3.714234196383806*^9}, {3.714234229569173*^9,
+ 3.714234230040387*^9}, {3.714235501458014*^9, 3.71423551337781*^9}, {
+ 3.714236994527731*^9, 3.714236994990761*^9}, {3.714237025199979*^9,
+ 3.714237025585266*^9}, {3.714237144557452*^9, 3.7142371513264093`*^9}, {
+ 3.7142377005972347`*^9, 3.714237700870833*^9}, {3.714238528854445*^9,
+ 3.7142385303446693`*^9}, {3.7148352986581078`*^9,
+ 3.714835299112627*^9}},ExpressionUUID->"67e93b6d-ca85-46c3-804d-\
+77285706e361"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{}, {},
+ TagBox[{
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6],
+ Opacity[1.], LineBox[CompressedData["
+1:eJw12Xc8ld8fAHBCQ5IGyihpmCkSaTgyK7KTpBJCZZURpUJIVkWKskIoI6TI
++phlZs9r3n2594ZQfZHf8cfvr/t6v845z3PO85zn8zmf191l62Z6bRUHB8cy
+JwfHyu/xIsuGcVs22Hre4U7g/QHSxVuebLnIBu7r/75pd/4Ackli7rw5G5wV
+Onc32rfB21KpzgFDNsQl6jzeMdcGIpXHRFM02XDkFUWo+HE7bKi3y5WXZQPx
+wMPE2dgOmOn81KHzlwXfphorqkK6IK/7xLz0LxY86Lo+Jl7dBTd7v4vwsVgQ
+Z5ddUvRfF5AHCHadYyzYvLHhZPLNbugb45q/3MCC3hfp3O3aPVDONhPxecWC
+9Pv6j2L7esFnalj94nMW+ARbuB5a0weHZxzt1MNZwK971wWp9EHe3L0c7ocs
+GNl3YmtOdB+8XUxTf+7AgiMLjAhxnX4I4Z21/XCYBUU3OuFy9ABo8j14HHmA
+BVvaxK/9+ToAyxvW5rjLsMA3vMxj9/gA+GwSm1MRZ8HPb4lLygqDcHOb9uNa
+bhZYV3ZPe9UOgvG+F9lDXUw40PxHrotAgAuFd9SlfzBhot5NdOMCAWzVL3Z4
+NDDhkL2HAofIEHhZSP7mrWACw5kxyXN+CF6H5GuqZTBhyujXRFnrEFCoLQOx
+Pkxoyj6inJ43DOzb+c7jt5nAPxu38K5xGP78i1mWd2GC8q+yqJvkYeAVvri3
+7ioTNnNlqOhsH4GDegz3mTNMCD3rn6rnPwJ3M3nWGokz4UTHC0kp7VEIOsR4
+/VqYCQSDyQflF0chElr2Uzcxobt8zycJj1FI6Ysxu7+aCc7zQ1yWb0ehfo1k
+cvbPSfgt9dw2emEUBJzUVdbWTIL6/aWKPrMxeCd9x77aYRLCXCd3zk+Ngf3D
+Y4XRNpNAHK2XmPlvDCR7l5ftrCbhsJuJzCD3OCQ9Cn2z2nASnpc2n3DbNg5x
+I/Gd+ocnIXb9XPEn9XEIe1Gu0cs1CVOzT3V6Ho+DO8cq8cmUCfhZH/C5dQMR
+FM5/u1H+egJ6c3P/5goSgZUbVhL5YgJcRPKU/MWJcOPiFvODoROgPvtRaEGe
+CHZf9oR7uU3ArU3aMYb6RLBw1vu7fGICdD9/Ug4LJsKx/oierQQGHM0UMpGf
+JoKTbyAHVw8D5ucC/Jx/E+GliI/c9A8GbNvlW5e6RIQZa7uA1hoG8OmU8cys
+I8GHcbX9IR8YkLBtDsQlSbBtkhr025cBb8+sbyo3JsHckoby4DYGxJYVnU/N
+JIFkssqVhs0M2BGSXNWaQwJjDfmwL3wMaM+qyZ0uIEFOoPBYNAcD2A4/zHaX
+k8B+DTtcn04HPiHhhwfaSdAp8IZUXkyHDInbp/7Mk+Dj7rnoZAs6wBc9q2xE
+Blkjbfl5YzrkRzw/X6JFhvS7MfUG+nSgMyL0qvTIEN+h+OcvosMftw17qo3I
+8Oih6yVzGTrUkpIaH18hw3kCTWrdIg0KHvOdO/iADMvRg+W339Jgu3dDz74S
+MtytlLFofEODCcnTJqllZJhl+Pzc+ZIGa87eq9gOZGBoCEu2htFAsVLi0WI9
+GbrYZo+lPGnQdNziQXQXGTLPtJoM6dLAZPohgYdNBqNVVVQdJhU0i+XilSUo
+8ODPz6OSVCpwHBVxuLebAnnsnU//jVJh9p2KYeU+CvATHqoWd1EhIlA/7Mh+
+CrR8QqHSZVRIHCQ5LKtR4NQ1kFkfRoX7M8myQ6YU0GiodG6XokLV6bTx/wIo
+4F7JrsrZRQVKbmVrexAFkot2CD4RpcLm6JHItMcU+JfyoOLkRip0P5FIQpEU
+KPdV5/80TwHH7o2hpnEUOCJX+TG2ngJbbI5l03IpoBhVMWNlRwGjMzzB7F48
+X369z8mXKKAe2DV2YoACsk/b75DPU2DJjforjEAByWekRRcDCkw9vGawY4yC
+77tuTcBhCvBmGovLMigwG3tONHMNBdasjhvr/48CJYksrV/ZZNhl5UzMEKMC
+yhWPDZ8lwbpTTVUvrKgg69OvzGKTgGep5dhlayoIacV0GzJIQHb4IbHvMhXY
+/Wu3bh4hwZsGu4MFV6mQwD0bHfedBOnPTr0ucqLCfxebnr17TYLm5Wil295U
++Mx7JwI0SPCPLZjd/YwKck7tj35FEMHAdnvf02oqtCzdHBkMIcLVVr/zErVU
+cI5Zo1bjT4TCcGf3j3VUyKtUZz/zJILl1nu3G79T4aBgrqWCNRFabz0mM1up
+oFLzZP91OSLwlD4ZnxnA711Mq2+kcRxOzWxu4JmmgmX7Z9mm1eOQwzh8/IUo
+DXSnPvzazjkOt6TMoEqMBocEUsqvL4xB+vl155jiNOA3DjNch+OOcQyhTEOC
+BrVtl2+fGhgDbtmNz0b20EChbU3pt+wx2PrTU+jnfhrw/LhwutZ4DMx1t/td
+RzSwf7XQ5nN4FCou/5T+eYUG750z+3bLjMJI6j+vBRsasE+ajf4QGwWFUjpl
+tS0NfJgf2Hu4RyEr/KWiqD0Nwk9abejoHIH/xLY/VXOiQeFkyRkZ9xHoga2C
+hu40WEbe9QMfhsF+9lEm4SENXtOnSo9KDOH1h9/LT6BBpemy386tQzhOXzF5
+nEgDYvkGxL12CBYJ++5fSqKBzHPZ2tafBLjP+71/TQoNStTsm22AACJ+eR/N
+02jQG9ZHCLlMADZfl3nbexps2V+52JkwCNFL4jsNvtAg4la4+s3tA7DTzb7i
+QSsNTk8ZDEvwD4DYzpfSu3/QYLUbv1/vqgFQ03cV+Y4d4Pz860lmPzSctYvZ
+0E4Db8dXytugH9bFPyK96KTBlUtpsvX2/cBxIkQ7so8GSqfLhHbm90H3T0UV
+qXEa9EtMsjt1e+F15+o9Ar/w+pVduV4c7QVBq/Sd6dj7Tk8Ln1PoBce9Kqmq
+szTwuvVbo0+wFyzD7524NEeDzTVcMQRyD0w8udiT+psGBnZiquTAHhgeyWwT
+xnEJ3p19OFfZDV7ntHiyuXEclM3fuE21C1zuruUP2UoHBzMqxwe5LohP8ts8
+jy3lJzZzTKILDir0lF8TpENWa2j3lXVdMLLuD5e2EB2y3a/GZxI6oUHN8uqi
+MI6bXzbvVvXvBN0Ot0BrUTqUanqpWDR2gLdiVSphFx1arY5eenGxHcihAmMj
++3Ec3aZUp2jUDoXndp87qUAHyT4ZuTbNdpBBG13SsH3Mtv9dJ9sOr4MOhDgc
+oIN23JTM8lQbRPEX2NIP0uHnXef4Htk2aH33mzl4CLdr2PsEJrUCoVDlvp8a
+HeLLzXQrglsh7C85vQubfURr6x/nVvDLmPSUPYrbFSXzXY61QqhqOn8fNlty
+jGbZ3wJftfbulz9Ohzge6/MHNreAqwfhyDd1OrCazFSGgpvgrn7Ji1ItOnDc
+Wusb5tIEAtcf5vFo02GrcEXZkXNNcD/cyMcY+6jdvpOxe5pALipSjIIdsvBH
+37C2EXYf4s3m1aWDhHzS1SqORtiY37yge4oOppH0iPR73+GAl4lmsAEdrh1K
+bDO1+w4vhQPjv2H7DJhs5tT/Dk2rg9LXnKVD8t6yV5dEvoPy6xu9odjMishU
+oa/f4BV3Tc5jQzoEs5VKQufrIdhJ9NgdnNdKjO6TnG/VwbmidTE7zOnwb0fc
+aLlVHSyLePSZYmuzCwl82nXw4KP5UAh2ewS9K0eoDhidzVJsbHqjWR2zrBb0
+b7/4/vUcHYS1Zd85r66F1a5RR3XO08H7SJ+D85tqWMr75bTfig7la2Zsy4Oq
+wU51w+gF7FV9fFf4XKsh1LRJOAQ7ykvzfI5GNfiqu3YMY2cU5uoxKVWgkSrg
+GHaRDr3yQdLOB6uAM2SjTL81HW5o2nb3hVUAf8gHF90rdEhSSUp7d6ECRhR+
+uLhid8oO3vaQroBVpSypl9hHt5hu2vitHBLnrjaRsXnJJw11OcvBupzY+MAG
+79/gXQ1Fd0rBYMdy1burdBjzvfQqULcUvG0NnRqxt7rGOxgLloLrx+dNTGw/
+i008zMKvcOGsQpWSLR0MpDg1d7NLoNfX8FYZNrNhrOy5fTF8jtL4DXb4/VaI
+hV85VAyJztbmo9jmBZZW+1cVw9eXui7/sMvj2/80JH+BDJuSzmP2dIi4UXWY
+g/AZhG+5uHzCludL+ehqUgRwPYEZe40OLkZX0vTVC4Az+oCNtCMdVr90pFgL
+YJMONp3AThlyk3Il5oN/+tRfU+zu6/7Zz0Ly4fqBxg9+2J5rtRyzEz+Cz4/3
+pq3Y/Le/P4bKHCgV06i2c6LDyeW+9UTbHDif6RPmhe0ZQX/KvSYHHPmGtz/G
+JmSsizttlA1DkyfD32NnEfSzukbfw0a53V8nV8brtDfQODLhQpOa7rXreHzn
+mAHvuwy4c4Li6YGddWW6Xf50BoxcFLwRgM1/d/PArefvIINHOCsBm5BnzljY
+lQ4QsdujfaX9+DWXHd/SgOzo0zuErdnoNa1xIw3cvnhzMFauR3r5N7gwFUSi
+/bM4btDBa9vAOgGtt8AWOXZ6P3bWO0akEi0F8ufOElWxh5T+23QuPAWecspq
+amIHvVZRVs5MhrkNBhYW2Du4y8xPNSTAP4249nsr7TuGrCMFEqDU51xKEPbk
+kSX7Tss30PFmXiUSu8QFeVkz4nE9NVWSiG3SVxvrzvsKmj9tEijHzkD82iYW
+L6HrotdsDfZipuWMYmosZFnypjZiZ/qwDH+pvYBQ+Q7L3pX2MdWlruAYiNZa
+YzuEbXo6MLuoIxpuHrijTMRe2i681vv6cyg5xneYtdIeePWLxedn4LzusN3M
+ynonsu1VOZ+Bx+pkq98r9/cOCJxWjATXnSfecdzE89MTGi1sDAdBC88/3NiL
+wtnHPK+GwZ3pma3rsE2/ds/OP3sMD2ua3wtgLz25blIiHQJe/tKSW7GzrP7l
++lYFQf2nDjthbDO5GN5jlo9g7HaDqwj2vwUpx8WfATBVvUFLHPt9S3ltxWN/
+GIhOHdu5Mv5omsY/9QegWv9YT3KlP6Hlr7/rPbDfV3lnD7b5/flCriQfuH1L
+99Y+7A87JJxDWr1A2WiXsjQ2R9XpveuWboOqhVGVDLbFVY+RcHl3qOPtEJTD
+tuQ5ekC85AZQRzKU5bG5T0W+lRS+BvZKHWL7sW0Eq6WMmZehxtT4x4pLXjvy
+XfxgBjr39pxWwJbQECM2t2vAVPfZyBVXdVuZ+rbsQyHeLa9X7P/hdPhaVQMU
+ap9ya8V0o382vpcuINXnjZtWfIoip15sZIueD+ndW7l+Qmp/c8GUI7LcKpq3
+Mp+pK8EXcp67oLWzmh9W5pvKH+9hFn8LDe8BN1lsAxe30vbrnqjzxNN/K+ud
+b9bhNDx6B72tLbSQwk6RFTvVzHsXgbSMz17sM09mok4R/JBN67TtbuxZWkNP
+ffZD5Lm8XngXtlGaUdQu9QCU9Z/3ix3Y6Z2lijYZgciOrkgQxTZUfO4z7B2M
+CigxdYLYaTaLomKjIaiYecRxM/afp45gpReK9vbIdPGvzJd9YnW/cDha4z6x
+eg32b/H374X8I1DG4+Ifq1bmf3br2XP0SMSl33zlH95/C+fmTl+3foZ4e6uG
+Z1f2I+vDm1CB58jbPbnpJ7ZxsA0rs+452mNd6T+B/a6o+RlVPgbpc305NYpt
+tCW1z24pFqlHW+h9w7Y0V29trnqJFtmb6JXYtrGDNYeCXqHkX2dOFWN7C2/J
+41ofj2YHZfQysZNEg4LStiWgGoKG+sr3m2UtcXf9UAJ6T3o6eBe7MLHczSM5
+Ed1qPKlyC/vbzjkrrX3JKINcKXcJ+/emT3HT29+i6dJcfiVsP6WAmHf33qKZ
++rR3+7A5zIyiLgy/RdIBhAURbN4Xk4+qU1KRWNgSZdVKPBHa4/ZcKh3NdQbl
+t+F4pSsSq6N4OBMlbdwicgm7+aidBuVlJpL+V5t6Ftv4ouKx+D+Z6PiszcQJ
+bKuE1oOc5VlIbJXuF3Fs1x2rxTo0P6Dv6ms1BnG8jZW8M+NunIuOaHoK6mGL
+aOmw9hTmIvnRmieHsJPsttD7t+ShTT2R5TtX4nX6x2GNvjykoOd76TeO/5e3
+eNqvPZCPkvtrw9Owb8fmGPV/LkBKi+XRPx3w8zfTG3QlFaAaweCAAWz5TUR7
+nk2FyKjmu0otNjVC+K6ScyFa1ZU4G4t9ISgwPULyExI1+Fh+BFvDw/KvxtMi
+9KNR9Y4Hzl/iir8e9ZUXIU39ocYL2P+xI/ldJ4pQCqc3EWEX3ajd/UbnMzIe
+sb+2HlvaVsFwbuEzKvJRKEjG+XCjCXfae6ditHWduGUFzp9M/uT9Gi+LkXZ1
+Nk8KdkOLWklvbTFysY31CcQOPOXWyrWzBEWTjPJ0sefR4O9LPSXoz7ejli04
+X48o5Bts1ixFl446JbTg/J7DZz1/V7QCLW3LiE3B54Vxz6n3JIMK1K1IrPbB
+FhoOumTwoALpLwRmGmM/zM2tEx+vQLeyPyYtX8bx03A5uiqjEokzBo5aYS/q
+dQhzba9Cs/3yoqsu4e/niWfRqfRqlMu5dkF05XxUoc6/VF6NMqr5FScv0KFv
+eq1TQU81shHbu6MUm88qUVRkTQ0qPb9f/Dy2l+y3wMnrNSjE6VJOpCWON83C
+JlEHapH1kfOLTAt8fuUrY3WV1qEKXZs6DzMcH4SrOrd21SFj/SfVR7Ezd9UX
+n5usQyTvNC9ObBuVtoA+0XpUnq2/KsoUn5+ukAQJfvWopefbhzQTOnwpXK9O
+VP+GxOvaJKuN6PDA0jpqquY7ok2ILNTq02HQ9qqHIuE7Knzq/y4AW9XFwfL2
+r+/oRs1LLoQ9FeAuObu7ARmL2w2WnMHfa3bQl99BDUjw8vmfWafx9/AvZ+Sf
+biNqmuFLvKuH8336ksKG5iYkLBmpRNKkw5HgBKuc8Sa0J/7k0QRsW4djIfp/
+mtB+3uOL5tifpX2Hn+xtRmoXXubVn6SDde5s+Gr/ZsQnTGxM08D548skfVm5
+BV0O9q4xxed3ncaB1JmkVhTfWTt9F9cD6r+t1jurtaO79zxDWbjeuN7pJJev
+045yBXZlRWC/yPXWnzVpRwLx0c5y2Ay76HC/G+3IUpaP5Ijrlxftjesj37Qj
+v0TNgCE5fD54f4Qvb6kd7aMbXCiSxvWDtdCGqeoO5HfTXkJckg6/qjv4Pc90
+Ibs80+DvW/D5IlTelHauC8mUZ1ywwBYyehxrdbUL+fd2d5I34/UPHRc76dOF
+BBTmCjmwH8xnyvBndCGvtUV7lAVwvJF7oJ3F2Y0Uzw2lPOHD+euF7N2hkm6k
+yWkXzML1naHDI4q2VC+KMLnlH4HrRY64e64PlHqRJuNnFA/2p0aP38UnetEP
+Jk31Aa4vt+23Xydn3ot8lk9Zus7gevqX9v5NAb2oPmW8+fQUrmcDVnsND/ai
++2bbdFmTNEhKeMLtHdWH+CVFP5OJNGB1Pt2dOdePxEu3zAW30UDF7ZtKD+cA
+spfVzunB9fGD9UunufgHUFBlRu8ebH7tG+5X9g2gFCGrR9UtNDj4WbtC+PwA
+6lWsPTjbSAPPV38tQksGkIW5ip96HQ0WL9qFXb83iFwNV1XaldCAl6IyLcc5
+hP61vD7di+v9r86lUk/4htACt2/SBmyn2ROXqcJDSKS77ZJ2Ig2+cek0pygM
+IdngjSL5b2gQKGn2TtB6CNkvddzzj6PBwhW3C8tfhpDC8Yt3uaNpwBzMrO50
+HkbiTN3Z2CAa/GjfFu3bP4JqvV3OVjvSYEvtoGkzeQRxmnwbKnWggeXnhC3i
+0yOIo3gy5tM1/PziJWKr1o2ivnZH71Q7GszbSsetOTaKXvD7zHldocHOOdWk
+2MRRNBDUIvnLggYlEXI9lopjaNxMTklDhwZrC86Me86PoXwbx6SpHTQY2Wlq
+dnhxDK2SXvCvEadBUdSF+jnOcaQ3mnIrRowGV5yd3ntvGEf/Qu19lURo8EUq
+5JbPnnF0Ndls7TVBGlxLqub0Mx1HsgWv9N+sp0FN+BHJR3nj6D4P4aLObyr4
+Oeyze+5AROFsE1XTZioQwxZ/KzsTUcL5jERqIxVOf+yM6L9FRK/P3+/1baCC
+0J8HX3beJyKFp0mlCfVU+Pikb11eDBHlhBVKdwMenxOa31RFRHVS3XjLUkHv
+18QSlygJgVPa4ftvqJAnXB2dKUFCrYcMjRnxVNh6/JWU/j4Syj/KFDCPo8JY
+kJZJtCIJmXMR7u+NpcJdoYR0iVMkZOI8MVgcRYUctbP6J7xIKI934Hh8ABU2
++3+M827D4391sjUdqFA7kH77RQ8JLe7jdrCyp4LnodcGhQQSuiqxbbW7LRX6
+qEEcbBoJSZieLY69TIWEs1ZO1zjIiPWgPL7JggpSojxHzBXJSKE9QqFflwrH
+v1j1KcaQ0VP/7J3ue6nA3mhcYBRPRu+lL37W202F5Os64S7JZKRVILpDfBcV
+VokfRO+zychXptS6WowK3x/xZErUkZE0T+yRn1uoYGqS771xjoxkFYKyhjmp
+4MjkEWJZUFBBmzcfm0CBlrK3AanWFKR3O7YwbYACB8NPsM7bUlDG2j2C5/so
+8J+MV12NCwVli2zgKu6kQIQj+XZcEAUFP5GSudhIgQJiTZtWIQXVtGjUKn6h
+wEL/wyevN1CRK62VkyOKAjZZonNGW6joleaOjw7hFKi7U2zDs52KsuddsppC
+KRAl9FPVfQ8VOebscAt7RAEJ88tUnWNUJEAMJ9B9KKDTdlxr2omK/nR8DBe3
+o8DT+r+LenVU9PnCG3O9wxRQbyrKvdNERS2f7t/YqEQB9g+3y5ntVCR0b+59
+lwIFDPspsHqYim4GuIQbS1OAb7IjsH6OiuwPpYqLilIgVODDWi0pGordoOfJ
+WiZD4EWrrephNPSsZVbI7BsZlGwE612e0ZDNuiiv6BoyjNu3eyW+pCE//ej1
+rZVk0HDV7VtMpaGvhPDSQ8VkWPZXel1WRkM+l9Skv2WSwS+DV0KNRUOrdB8J
+/X5MBu/pUjllYzriOIpqNLXJ8CVbe1nXgo4Kx8RHJxAZ5q/96LxgTUdHNpbt
+ijyG+w+O+z50oqNr7tsnQIkMd2rXNjT501HWH071YQky+MRa2F8toKOAqp4T
+zAUS3FWbSYjazEBjKTKt/3JIUPrrnvvbbQzU4najszOTBP/l8mgX7WCgVe6c
+Z5JTcX/J7ZMDsgwUkaRktCuOBPfWa6jt1WKgQqGTY98DSeA3HNlT5sFAFjkd
+7KcWJHgYIMPP6Gagzf22Ox//JsLtggseMYMMdL7kyFTBNBEcxp/0nxhjoB3v
+egW6J4lgcHIiNXqSgXpT3s0ujRJBhDNb9fiqCRR0LUaC+p0IX/zlrz49MIHW
+3MzZMh9LBPbDA0WHwyaQvyrfjjuyRCB+vLJ97OkEymacXWO7mwg9o08fhMVO
+oJdtaRdPihGhHE2dGk2ZQDc3hnQPbSBC2HL+cGjxBKIl3CE8mhqHvQ+V1gyR
+JxC3WkrCnU/jYP3gsFUgmkRUrWYn3gPj8JoUxJ2pM4leTd2WDtk7DgOnuvOa
+9SfR7O9+6Z+i42CxxYNL0HISEarE+UPXjoNxVn5OpvskesRvKtM2NgZanXLL
+LW8nUZycaPj9yDEIVL33fjoTW+mrR0HgGFQlNJkJ5U0i+UfPSn7cGYPjjtez
+rpROojOfedTqro6BymKGyUznJFqteZUjRnkMZPdJpgtzM5HL1R8xcx9GwSn8
+luFxXiaiuc++14gehcypqj82Akz07N7CBXPfUdhTduXsBzEm6jGzie/UHQVx
+48T544eZKCjX10xwdAQE7m47bevARBovBXOWuEbgdys/O6eBiciMs23N24aA
+nsUte/0H7h+npZX7lwCDj/67trebiXyP7+DUGiRApRp1OHGUiUQDNyyGvCZA
+0LuK1qh5Jlp9c3G3iTABNt93znXfw0ICrGdoiGMQuC1tGfKyLOTbU7XJY2gA
+5pUs9zIOsNCgl8XU++IBGKBrJV49xkLfXk38mHYegBRz0UhTUxY6p7VVqrKz
+HxTkm5yV/VnIuKJAu+VJH0isqcqaCmYh99Fg/sTLfbCZ+JmcE85C6VFimdNK
+fTD36q313lcstMpysFJ8sBfKuXwNhPJYSHkmjl9LshfOEKTk/xBY6ENWQU/y
+q24cX8WdisZZKFqILPbcrhsUnm9Jd6exkDfDLnRYoRs26S2LMmZYqJP7kMG9
+2i7oL+xdP7iOjYI+73V3JXeCQ1jwZJkqGxls9p/jWdUBdJEzZy6eYKP8fZVJ
+erXtcCOb//1/mmy046m7Z/6jdnBreeWgZshGmt9z0yic7bC9x/7rETs2+v//
++/8DL7Ch4w==
+ "]],
+ LineBox[{{1.0419951622474852`, -0.23469877451035176`}, {
+ 1.0421407063783419`, -0.37257984191474414`}, {
+ 1.04283555784381, -0.9460788423700206}, {
+ 1.043020573993824, -1.0779824040116783`}}],
+ LineBox[{{-1.0430228062121953`, -1.0779824040116783`}, \
+{-1.042807187813172, -0.9242424282156637}, {-1.0420557485522708`, \
+-0.296761331938703}, {-1.0419916353084975`, -0.23469877451035176`}}]}},
+ Annotation[#, "Charting`Private`Tag$14414141#1"]& ]}}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, -1.0779824040116783`},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}},
+ GridLines->{{{1.03, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}, {-1.03, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}}, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-1.1300000000000001`,
+ 1.1300000000000001`}, {-1.0779824040116783`, -0.23469877451035176`}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.7137868428211517`*^9, {3.713786876436328*^9, 3.713786880892294*^9}, {
+ 3.713786911917448*^9, 3.713786975636983*^9}, {3.713787043580344*^9,
+ 3.713787054112487*^9}, {3.713787091884612*^9, 3.7137871303293543`*^9}, {
+ 3.7137873441971073`*^9, 3.713787366054718*^9}, {3.713787744869535*^9,
+ 3.713787772248114*^9}, {3.713787873953774*^9, 3.713787896994111*^9}, {
+ 3.7137880959645233`*^9, 3.7137881089961042`*^9}, 3.71378817266117*^9, {
+ 3.713788840185727*^9, 3.713788843629333*^9}, {3.713792008614105*^9,
+ 3.713792022973323*^9}, 3.713792277625108*^9, {3.7137944343878193`*^9,
+ 3.71379443703505*^9}, {3.713796880744809*^9, 3.713796888383353*^9}, {
+ 3.7137969255232973`*^9, 3.713796927819489*^9}, 3.71379718348458*^9, {
+ 3.713827434665268*^9, 3.713827443488235*^9}, 3.713827482758223*^9, {
+ 3.71382758304496*^9, 3.7138276201271763`*^9}, {3.714131200316176*^9,
+ 3.7141312045699244`*^9}, {3.714131254215077*^9, 3.714131277251986*^9},
+ 3.7141317655386057`*^9, {3.714132521860244*^9, 3.714132531422585*^9},
+ 3.714132594800725*^9, {3.714133724809444*^9, 3.714133751537928*^9}, {
+ 3.714135421393217*^9, 3.714135451507843*^9}, {3.71413567570129*^9,
+ 3.7141356998242083`*^9}, {3.714135733025814*^9, 3.7141357840691547`*^9},
+ 3.714136120052483*^9, {3.7141366600281467`*^9, 3.7141366864873533`*^9}, {
+ 3.7141377784504833`*^9, 3.7141377991028967`*^9}, 3.7141379539677057`*^9,
+ 3.714138050818244*^9, {3.714138200060054*^9, 3.7141382064545603`*^9},
+ 3.7142171461980963`*^9, 3.7142188447804413`*^9, 3.714222440250145*^9, {
+ 3.714222657733528*^9, 3.714222683268447*^9}, {3.714224133382696*^9,
+ 3.7142241549538307`*^9}, 3.714225354640583*^9, 3.714225714002556*^9,
+ 3.714227554313645*^9, 3.714227908653563*^9, 3.71422857576338*^9,
+ 3.714228696834524*^9, 3.71422909104809*^9, 3.714229141599442*^9,
+ 3.7142292486960983`*^9, {3.714230780456892*^9, 3.714230785679422*^9}, {
+ 3.7142308157519608`*^9, 3.714230828606016*^9}, 3.7142340780685377`*^9, {
+ 3.714234224600782*^9, 3.714234237139142*^9}, 3.714234307673902*^9,
+ 3.7142348074233837`*^9, 3.714235165607085*^9, {3.714235516500855*^9,
+ 3.714235522453641*^9}, 3.714236139343099*^9, 3.714236584602807*^9, {
+ 3.714236988918172*^9, 3.7142370367497597`*^9}, 3.7142370714636*^9, {
+ 3.714237148119379*^9, 3.714237158503746*^9}, 3.7142376738828783`*^9,
+ 3.714237708683312*^9, 3.7142379936356*^9, 3.7142385359649982`*^9, {
+ 3.714239532284824*^9, 3.714239559565295*^9}, 3.714310568247346*^9,
+ 3.714310622197301*^9, 3.714311011658202*^9, 3.714808401956645*^9,
+ 3.714808896545514*^9, 3.714809181349373*^9, {3.714835268155815*^9,
+ 3.71483535503961*^9}, 3.714837790628598*^9, 3.714839685888854*^9,
+ 3.7148400624928083`*^9,
+ 3.714840783784141*^9},ExpressionUUID->"fdcb284f-f0ff-4606-86e2-\
+59cb14f49d1b"]
+}, Open ]],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"func3", "=",
+ RowBox[{"Sort", "@",
+ RowBox[{"(",
+ RowBox[{"Reverse", "/@",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{"h0", " ",
+ RowBox[{
+ RowBox[{
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], "[",
+ "\[Theta]", "]"}], "/",
+ SuperscriptBox[
+ RowBox[{"Abs", "[",
+ RowBox[{"1", "-",
+ SuperscriptBox["\[Theta]", "2"]}], "]"}],
+ "\[CapitalDelta]"]}]}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "1.0001", ",",
+ RowBox[{
+ RowBox[{"\[Theta]c", "-", "0.0001"}], "/.", "sol3"}], ",",
+ "0.0001"}], "}"}]}], "]"}]}], ")"}]}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.7142298098317432`*^9, 3.7142298123326817`*^9}, {
+ 3.7142298482193117`*^9, 3.714229970202634*^9}, {3.714230013902568*^9,
+ 3.714230017096983*^9}, {3.7142301874072742`*^9, 3.714230189981579*^9}, {
+ 3.7142343285785217`*^9, 3.71423437965909*^9}, {3.714234573883163*^9,
+ 3.7142345750236673`*^9}, {3.7142355328610573`*^9, 3.714235539168882*^9}, {
+ 3.714237173649082*^9, 3.714237175624524*^9}, {3.7142372663876457`*^9,
+ 3.7142372666578074`*^9}, {3.7148378004249163`*^9, 3.71483780140065*^9}, {
+ 3.7148396909510317`*^9,
+ 3.714839692023047*^9}},ExpressionUUID->"42364ffb-0801-4068-92dd-\
+1dd2ca0a5191"],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], "[", "\[Theta]",
+ "]"}], "/.", "sol3"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "0", ",",
+ RowBox[{"\[Theta]c", "/.", "sol3"}]}], "}"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.714237194433928*^9,
+ 3.714237249207802*^9}},ExpressionUUID->"bf674d0d-af90-450c-adb1-\
+9d99c2cffb88"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{}, {},
+ TagBox[{
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6],
+ Opacity[1.], LineBox[CompressedData["
+1:eJwt13k0lO//BnCUimSnSKUiIvtSCZdsFULhQ5aIUkmiKFsLSbaSLSJRolKk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+ "]]}},
+ Annotation[#, "Charting`Private`Tag$14414184#1"]& ]}}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{0, 1.03}, {0., 0.2549127423565523}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.714237249652542*^9, 3.714237674457458*^9, 3.714238002214877*^9,
+ 3.714238548204287*^9, 3.7142395741313543`*^9, 3.714310570543141*^9,
+ 3.714310623124496*^9, 3.714311017345689*^9, 3.714808403934688*^9,
+ 3.71480889857689*^9, 3.714809184836677*^9, {3.7148352402924423`*^9,
+ 3.71483528537774*^9}, 3.714835317980453*^9, {3.714837791309577*^9,
+ 3.714837802598229*^9}, {3.714839687879746*^9, 3.71483969498512*^9},
+ 3.7148400634028397`*^9,
+ 3.7148407861257143`*^9},ExpressionUUID->"0b7343a7-4703-45e1-bb16-\
+5b27ce9853a2"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Show", "[",
+ RowBox[{
+ RowBox[{"ListLogLogPlot", "[", "sus", "]"}], ",",
+ RowBox[{"ListLogLogPlot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[", "1", "]"}], "]"}], ",",
+ RowBox[{
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "2"], "-", "1"}], ")"}],
+ RowBox[{"7", "/", "4"}]],
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]f", "[",
+ RowBox[{"A", ",", "B", ",", "h0", ",", "\[Theta]c", ",",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "\[Lambda]", ",", "NY"}], "]"}]}], "]"}],
+ "[",
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "]"}]}], "/.", "sol3"}]}], "}"}],
+ "&"}], "/@", "func3"}], ",",
+ RowBox[{"Joined", "\[Rule]", "True"}], ",",
+ RowBox[{"PlotStyle", "\[Rule]", "Green"}]}], "]"}], ",",
+ RowBox[{"LogLogPlot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ",", "B"}], "]"}], "[", "X",
+ "]"}], ",",
+ RowBox[{"{",
+ RowBox[{"X", ",", "0.001", ",", "1000"}], "}"}], ",",
+ RowBox[{"PlotStyle", "\[Rule]", "Red"}]}], "]"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7142246940999937`*^9, 3.714224710004931*^9}, {
+ 3.714224744516198*^9, 3.714224747961575*^9}, {3.714228221815777*^9,
+ 3.714228223946136*^9}, {3.7142301107700567`*^9, 3.714230113937529*^9}, {
+ 3.714231178048731*^9, 3.714231307094479*^9}, {3.71423525344033*^9,
+ 3.714235256372561*^9}, {3.714235546552792*^9, 3.714235548823525*^9}, {
+ 3.7142371795421753`*^9, 3.714237179715836*^9}, {3.714237270963962*^9,
+ 3.714237271437516*^9}, {3.714808410655513*^9, 3.7148084108773403`*^9}, {
+ 3.714835290345118*^9,
+ 3.7148352908177443`*^9}},ExpressionUUID->"92ae3154-3f46-4e0a-9f1a-\
+48944528c3ca"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{}, {{{}, {
+ {RGBColor[0.368417, 0.506779, 0.709798], PointSize[
+ 0.0055000000000000005`], AbsoluteThickness[1.6],
+ PointBox[CompressedData["
+1:eJwVl3k8FW8bxq3Hdubsx7GTrUiorIkZ0mJNJLRIKipUWixtRKEUUZbKD6Gy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+
+ "]]}}, {}}}, {}, {}, {{}, {}}, {{}, {}}}}, {{{}, {{{}, {}, {
+ {RGBColor[0, 1, 0], PointSize[0.007333333333333334],
+ AbsoluteThickness[1.6], LineBox[CompressedData["
+1:eJwVknc81Y//xQnZd28X97qIpFQofPR+KSLZpVRKFJWUqERpi68UhdCwUrJC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+ "]]}}}}, {}, {}, {{}, {}}, {{}, {}}}}, {{{{}, {},
+ TagBox[{
+ {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.],
+ LineBox[CompressedData["
+1:eJwV13c8lW8UAHB732Vcd5RVSQNZWclNGamUFEIyMqPISpFSyEgUKRpWRElR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+ "]],
+ LineBox[CompressedData["
+1:eJwBgQF+/iFib1JlAgAAABcAAAACAAAAlyAZrU5KGkCxgSnemrshwMOutnul
+bxpAIggrZBXOIcA+FuN7gHQaQCr8p6h80CHAM+U7fDZ+GkBh2hxHS9UhwB6D
+7XyikRpARxgV2ejeIcDzvlB+ergaQNyUb0ol8iHAnjYXgSoGG0CqT+4toxgi
+wBmeQ4EFCxtAkXjSQgsbIsCUBXCB4A8bQC5q+l1zHSLAidTIgZYZG0DBrvmm
+QyIiwHRyeoICLRtAgIrzguQrIsBJrt2D2lMbQB++2lwnPyLAxBUKhLVYG0A4
+V8PSj0EiwD59NoSQXRtAwRyDTvhDIsA0TI+ERmcbQEP+bVfJSCLAHupAhbJ6
+G0DzVTuua1IiwJlRbYWNfxtAklwnUtRUIsAUuZmFaIQbQNXktvs8VyLACYjy
+hR6OG0BdJqdfDlwiwITvHob5khtAf0b7GXdeIsD+VkuG1JcbQO+12dnfYCLA
+eb53hq+cG0DQODyfSGMiwPQlpIaKoRtA5JkcarFlIsCs8KOS
+ "]]}},
+ Annotation[#,
+ "Charting`Private`Tag$14414328#1"]& ], {}}, {{}, {}, {}}}, {}, {}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{-6.271123276191262, -9.801059382476273},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledFrameTicks[{Log, Exp}]}, {
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledFrameTicks[{Log, Exp}]}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ ImageSize->{437., Automatic},
+ Method->{"CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Exp[#]& )[
+ Part[#, 1]],
+ (Exp[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Exp[#]& )[
+ Part[#, 1]],
+ (Exp[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-6.066242635851156,
+ 7.046118345915609}, {-9.701519868618796, -3.530070009455368}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.02],
+ Scaled[0.05]}},
+ Ticks->FrontEndValueCache[{
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledTicks[{Log, Exp}]}, {{{-4.605170185988091,
+ FormBox["0.01`", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.3025850929940455`,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.10\"", 0.1, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 2.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {0.,
+ FormBox["1", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.302585092994046,
+ FormBox["10", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.605170185988092,
+ FormBox["100", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.907755278982137,
+ FormBox["1000", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.907755278982137,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.809142990314028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.521460917862246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.298317366548036,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.115995809754082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.961845129926823,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.8283137373023015`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.710530701645918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.506557897319982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.2188758248682006`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.8134107167600364`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.659260036932778,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.5257286443082556`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.4079456086518722`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.2039728043259361`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.916290731874155,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.5108256237659907,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.35667494393873245`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.2231435513142097,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.10536051565782628`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {1.0986122886681098`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {1.3862943611198906`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {1.791759469228055,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {1.9459101490553132`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {2.0794415416798357`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {2.1972245773362196`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {3.4011973816621555`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {3.6888794541139363`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {4.0943445622221,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {4.248495242049359,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {4.382026634673881,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {4.499809670330265,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {5.298317366548036,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {5.703782474656201,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {5.991464547107982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {6.396929655216146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {6.551080335043404,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {6.684611727667927,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {6.802394763324311,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {7.600902459542082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {8.006367567650246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {8.294049640102028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {8.517193191416238,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {8.699514748210191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {8.85366542803745,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {8.987196820661973,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.104979856318357,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.210340371976184,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.305650551780507,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.392661928770137,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.472704636443673,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.546812608597396,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.615805480084347,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {9.680344001221918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}}, {{-9.210340371976182,
+ FormBox[
+ TemplateBox[{"\[Times]", "\"\[Times]\"", "1.`",
+ TemplateBox[{"10",
+ RowBox[{"-", "4"}]}, "Superscript", SyntaxForm ->
+ SuperscriptBox]}, "RowWithSeparators"], TraditionalForm], {0.01,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.600902459542082,
+ FormBox[
+ TemplateBox[{"\[Times]", "\"\[Times]\"", "5.`",
+ TemplateBox[{"10",
+ RowBox[{"-", "4"}]}, "Superscript", SyntaxForm ->
+ SuperscriptBox]}, "RowWithSeparators"], TraditionalForm], {0.01,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.907755278982137,
+ FormBox["0.001`", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.298317366548036,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.005\"", 0.005, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.605170185988091,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.010\"", 0.01, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.903487552536127,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.721165995742174,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.567015315914915,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.433483923290392,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.315700887634009,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-8.517193191416238,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-8.111728083308073,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.824046010856292,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.418580902748128,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.264430222920869,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.1308988302963465`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.013115794639964,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.809142990314028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.521460917862246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.115995809754082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.961845129926823,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.8283137373023015`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.710530701645918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.506557897319982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.2188758248682006`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.8134107167600364`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.659260036932778,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.5257286443082556`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.4079456086518722`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.3025850929940455`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.8971199848858813`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.3862943611198906`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}], Selectable -> False], TraditionalForm], {0.005,
+ 0.}, {
+ AbsoluteThickness[0.1]}}}}]]], "Output",
+ CellChangeTimes->{{3.714231218528014*^9, 3.714231255059382*^9}, {
+ 3.7142313035157547`*^9, 3.714231308612544*^9}, 3.714231351814006*^9,
+ 3.714235201839225*^9, 3.714235263324795*^9, 3.7142355579614763`*^9,
+ 3.7142361528419724`*^9, 3.714236603635973*^9, 3.71423707986604*^9,
+ 3.714237192861849*^9, 3.714237278108419*^9, 3.714237681622467*^9,
+ 3.714238012130599*^9, 3.7142385593897343`*^9, 3.7142395841399593`*^9,
+ 3.7143106365566473`*^9, 3.71431102573499*^9, 3.714808411992034*^9,
+ 3.714809186874392*^9, {3.714835274229595*^9, 3.71483529235351*^9},
+ 3.714835326867199*^9, {3.714837794557966*^9, 3.714837805193996*^9},
+ 3.714839697857582*^9, 3.714840072996578*^9,
+ 3.714840787423091*^9},ExpressionUUID->"46c82dd0-fcf2-4632-8973-\
+562e13d0e484"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"sol4", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"FindRoot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"eqsl", "[",
+ RowBox[{"[",
+ RowBox[{";;", "3"}], "]"}], "]"}], ",",
+ RowBox[{"eqsm", "[",
+ RowBox[{"[",
+ RowBox[{";;", "3"}], "]"}], "]"}]}], "]"}], "/.", "#"}], ",",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"A", ",",
+ RowBox[{"A", "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"h0", ",",
+ RowBox[{"h0", "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], ",", "0"}], "}"}]}], "}"}], "+",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"0", ",",
+ RowBox[{"0.1",
+ RowBox[{"RandomReal", "[",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"-", "1"}], ",", "1"}], "}"}], "]"}]}]}], "}"}],
+ "&"}], "/@",
+ RowBox[{"Range", "[", "6", "]"}]}], ")"}]}], ",",
+ RowBox[{"MaxIterations", "\[Rule]", "100000"}]}], "]"}], ",", "#"}],
+ "]"}], "&"}], "@",
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"\[Theta]c", "\[Rule]",
+ RowBox[{"(",
+ RowBox[{"\[Theta]c", "/.", "sol3"}], ")"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}], ",",
+ RowBox[{"\[Lambda]", "\[Rule]", "0"}]}], "}"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"a", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "4", ",", "14"}], "}"}]}], "]"}], ",",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"b", "[", "n", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"{",
+ RowBox[{"n", ",", "1", ",", "4"}], "}"}]}], "]"}]}],
+ "]"}]}]}]], "Input",
+ CellChangeTimes->{{3.714830189789303*^9, 3.714830247113008*^9}, {
+ 3.714830370291354*^9, 3.7148304020998793`*^9}, {3.714830438333508*^9,
+ 3.714830492396922*^9}, {3.7148315519116983`*^9, 3.714831586639285*^9}, {
+ 3.714831775402582*^9, 3.714831788201981*^9}, {3.714832864307414*^9,
+ 3.714832868444124*^9}, {3.714833031190621*^9, 3.714833044877865*^9}, {
+ 3.714834919749164*^9, 3.71483502667636*^9}, {3.714835128182406*^9,
+ 3.7148351701917686`*^9}, {3.7148352113368464`*^9, 3.714835352474739*^9}, {
+ 3.714836559965501*^9, 3.714836605646779*^9}, {3.714837576200902*^9,
+ 3.714837617815027*^9}, {3.714837653153191*^9, 3.7148376671116743`*^9}, {
+ 3.714837785171094*^9, 3.71483778705781*^9}, {3.7148378204662323`*^9,
+ 3.7148379295141487`*^9}, {3.71483971418572*^9, 3.714839749209118*^9}, {
+ 3.714840159953218*^9, 3.714840160199462*^9},
+ 3.714841056494828*^9},ExpressionUUID->"6b6accaa-50e0-41cb-9db0-\
+89293eff950f"],
+
+Cell[BoxData[
+ TemplateBox[{
+ "FindRoot","jsing",
+ "\"Encountered a singular Jacobian at the point \
+\\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\\\"A\\\", \\\",\\\", RowBox[{\\\"b\\\", \
+\\\"[\\\", \\\"0\\\", \\\"]\\\"}], \\\",\\\", \\\"h0\\\", \\\",\\\", RowBox[{\
+\\\"a\\\", \\\"[\\\", \\\"1\\\", \\\"]\\\"}], \\\",\\\", RowBox[{\\\"a\\\", \
+\\\"[\\\", \\\"2\\\", \\\"]\\\"}], \\\",\\\", RowBox[{\\\"a\\\", \\\"[\\\", \
+\\\"3\\\", \\\"]\\\"}]}], \\\"}\\\"}]\\) = \\!\\(\\*RowBox[{\\\"{\\\", \
+RowBox[{\\\"412.00729802041803`\\\", \\\",\\\", RowBox[{\\\"-\\\", \
+\\\"0.7240789752532015`\\\"}], \\\",\\\", \\\"2476.741119606096`\\\", \\\",\\\
+\", RowBox[{\\\"-\\\", \\\"2.909007682974441`\\\"}], \\\",\\\", \
+\\\"2.8270393785565977`\\\", \\\",\\\", RowBox[{\\\"-\\\", \
+\\\"0.9174106686023686`\\\"}]}], \\\"}\\\"}]\\). Try perturbing the initial \
+point(s).\"",2,1129,649,30807374799760681803,"Local"},
+ "MessageTemplate"]], "Message", "MSG",
+ CellChangeTimes->{{3.714840186916745*^9, 3.714840209516464*^9}, {
+ 3.714840540736278*^9, 3.71484056387328*^9}, {3.71484079729348*^9,
+ 3.714840837048278*^9}, {3.71484091183426*^9, 3.714841010369143*^9},
+ 3.714841066801058*^9, {3.714841140111586*^9,
+ 3.714841149824217*^9}},ExpressionUUID->"ec208c9a-02d4-4b8b-9777-\
+36402562a2c4"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"A", "\[Rule]", "412.00729802041803`"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "\[Rule]",
+ RowBox[{"-", "0.7240789752532015`"}]}], ",",
+ RowBox[{"h0", "\[Rule]", "2476.741119606096`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "\[Rule]",
+ RowBox[{"-", "2.909007682974441`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "2", "]"}], "\[Rule]", "2.8270393785565977`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "\[Rule]",
+ RowBox[{"-", "0.9174106686023686`"}]}], ",",
+ RowBox[{"\[Theta]c", "\[Rule]", "1.03`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "0", "]"}], "\[Rule]", "1"}], ",",
+ RowBox[{"\[Lambda]", "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "4", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "6", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "7", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "8", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "9", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "10", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "11", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "12", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "13", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "14", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "1", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "3", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "4", "]"}], "\[Rule]", "0"}]}], "}"}]], "Output",
+ CellChangeTimes->{
+ 3.714830247999181*^9, {3.71483038021819*^9, 3.714830403949602*^9},
+ 3.71483044066129*^9, {3.714830470737451*^9, 3.714830492622257*^9}, {
+ 3.714831558794394*^9, 3.7148315869655027`*^9}, 3.7148317884986687`*^9,
+ 3.714832869144842*^9, 3.714833045176977*^9, 3.7148349538360643`*^9, {
+ 3.7148349974025383`*^9, 3.71483502734721*^9}, 3.714835170512484*^9, {
+ 3.714835230592574*^9, 3.714835352841935*^9}, {3.7148365788199863`*^9,
+ 3.7148366136714897`*^9}, {3.714837601603104*^9, 3.714837693188035*^9}, {
+ 3.714837736625573*^9, 3.7148377873674917`*^9}, {3.7148378644223223`*^9,
+ 3.7148379322184563`*^9}, {3.714839749567779*^9, 3.7148397745793123`*^9}, {
+ 3.714840084699483*^9, 3.7148401109891043`*^9}, {3.7148401633479443`*^9,
+ 3.7148402095208178`*^9}, {3.7148405407410994`*^9, 3.714840563879424*^9}, {
+ 3.714840797298601*^9, 3.714840837054597*^9}, {3.714840911839436*^9,
+ 3.71484101037407*^9}, 3.714841066807197*^9, {3.714841140116955*^9,
+ 3.7148411498304358`*^9}},ExpressionUUID->"8f7fc702-8ddb-4ba1-b8d4-\
+f00939660c77"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"sol4", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"FindRoot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"eqset1", "[",
+ RowBox[{"[",
+ RowBox[{"{",
+ RowBox[{
+ "1", ",", "2", ",", "3", ",", "4", ",", "5", ",", "6", ",", "7"}],
+ "}"}], "]"}], "]"}], "/.", "#"}], ",",
+ RowBox[{"Evaluate", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"A", ",",
+ RowBox[{"A", "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "/.", "sol3"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], ",", "0."}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "4", "]"}], ",", "0"}], "}"}]}], "}"}], "+",
+ RowBox[{"(",
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"0", ",",
+ RowBox[{"RandomReal", "[",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"-", "1"}], ",", "1"}], "}"}], "]"}]}], "}"}],
+ "&"}], "/@",
+ RowBox[{"Range", "[", "7", "]"}]}], ")"}]}], "]"}], ",",
+ RowBox[{"MaxIterations", "\[Rule]", "5000"}]}], "]"}], ",", "#"}],
+ "]"}], "&"}], "@",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"h0", "\[Rule]", "1"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "7", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "9", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "8", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "6", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"\[Theta]c", "\[Rule]", "1.05"}]}], " ", "}"}]}]}]], "Input",
+ CellChangeTimes->{{3.713831066059136*^9, 3.713831114419949*^9}, {
+ 3.713832086342939*^9, 3.713832087146991*^9}, {3.713832443210457*^9,
+ 3.71383247590783*^9}, {3.7138325453876143`*^9, 3.71383257899848*^9}, {
+ 3.713832645046439*^9, 3.7138326560760612`*^9}, {3.7138326991394243`*^9,
+ 3.7138326994458647`*^9}, {3.713832816558237*^9, 3.7138328172172956`*^9}, {
+ 3.71383324788846*^9, 3.7138332492651052`*^9}, {3.713833327860799*^9,
+ 3.7138333291494303`*^9}, {3.713833418470818*^9, 3.713833464722061*^9}, {
+ 3.713833594839151*^9, 3.713833611792164*^9}, {3.713833751232513*^9,
+ 3.7138337733205357`*^9}, {3.7138338935803432`*^9, 3.71383389764952*^9}, {
+ 3.713833977265209*^9, 3.713833977437888*^9}, {3.714130746095714*^9,
+ 3.714130752417535*^9}, {3.714131444777457*^9, 3.71413146194755*^9}, {
+ 3.714131811697412*^9, 3.71413182020647*^9}, {3.714132504897458*^9,
+ 3.714132510886056*^9}, {3.714132583386449*^9, 3.714132583844833*^9}, {
+ 3.714132701221189*^9, 3.714132701782814*^9}, {3.714133534399131*^9,
+ 3.714133558984832*^9}, {3.714133594451292*^9, 3.714133599334178*^9}, {
+ 3.714133656289473*^9, 3.714133659408723*^9}, {3.714133702685569*^9,
+ 3.7141337095377398`*^9}, {3.714135158602571*^9, 3.714135161377743*^9}, {
+ 3.714136383553753*^9, 3.714136390710567*^9}, {3.7141366366138763`*^9,
+ 3.714136640247182*^9}, {3.7141370624049597`*^9, 3.714137067529714*^9}, {
+ 3.7141374146316643`*^9, 3.714137417524489*^9}, {3.7141376643046007`*^9,
+ 3.714137667902017*^9}, {3.7141378776131363`*^9, 3.714137881686089*^9}, {
+ 3.714218827498001*^9, 3.714218869025569*^9}, {3.7142189863315353`*^9,
+ 3.714218987954876*^9}, 3.714219860194908*^9, {3.71422000943849*^9,
+ 3.7142200229751*^9}, {3.714220528713284*^9, 3.714220530958644*^9}, {
+ 3.714222448169318*^9, 3.714222493675877*^9}, {3.714224116376823*^9,
+ 3.714224124055456*^9}, {3.7142265274866257`*^9, 3.714226537415072*^9}, {
+ 3.71422755090343*^9, 3.714227551248034*^9}, {3.7142285616056004`*^9,
+ 3.714228571445447*^9}, {3.714228617742125*^9, 3.714228667463087*^9}, {
+ 3.7142287129795523`*^9, 3.714228713223896*^9}, {3.714228935225925*^9,
+ 3.714228938864719*^9}, {3.71422911606817*^9, 3.714229133329981*^9}, {
+ 3.7142292386320868`*^9, 3.714229245435895*^9}, {3.714230235981173*^9,
+ 3.714230323990468*^9}, {3.714230396529126*^9, 3.714230414600062*^9}, {
+ 3.714230688859643*^9, 3.7142306967192698`*^9}, {3.714230804099308*^9,
+ 3.7142308083055067`*^9}, {3.714231108707711*^9, 3.714231119273892*^9}, {
+ 3.714234044315946*^9, 3.71423407577668*^9}, {3.7142342031374617`*^9,
+ 3.7142342182984962`*^9}, {3.7142347884054403`*^9, 3.714234860081077*^9}, {
+ 3.714235136722487*^9, 3.7142351557932053`*^9}, {3.7142375390176773`*^9,
+ 3.714237541908584*^9}, {3.714238260860187*^9, 3.7142382853524113`*^9}, {
+ 3.714238401919981*^9, 3.7142384339898043`*^9}, {3.714238481864447*^9,
+ 3.714238513094298*^9}, {3.714238562008102*^9, 3.7142387935640097`*^9}, {
+ 3.714238954945271*^9, 3.714239018460537*^9}, {3.71423906060011*^9,
+ 3.714239074625094*^9}, {3.714239582453517*^9, 3.7142396877118473`*^9}, {
+ 3.714239884756308*^9, 3.7142399368332033`*^9}, {3.714244242032011*^9,
+ 3.714244349367136*^9}, {3.714244528637442*^9, 3.714244579228953*^9}, {
+ 3.71424464211333*^9, 3.7142448078237457`*^9}, {3.714307979132279*^9,
+ 3.714308060637074*^9}, {3.714308096391192*^9, 3.714308195724996*^9}, {
+ 3.714311059986525*^9, 3.71431111843832*^9}, {3.7143111569110107`*^9,
+ 3.714311158475646*^9}, {3.714311195449355*^9, 3.7143112681014853`*^9}, {
+ 3.714311335964224*^9, 3.714311364090726*^9}, {3.714311396308098*^9,
+ 3.7143114163366117`*^9}},ExpressionUUID->"64347503-3722-48ed-946f-\
+0fe086f9b2aa"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"A", "\[Rule]", "198.01463856703947`"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "\[Rule]", "0.8960890105706605`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "\[Rule]", "486.9849425542388`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "\[Rule]",
+ RowBox[{"-", "833.8856231776648`"}]}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "\[Rule]",
+ RowBox[{"-", "0.8007178775711153`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], "\[Rule]", "356.8566212896413`"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "4", "]"}], "\[Rule]",
+ RowBox[{"-", "0.5196255747587503`"}]}], ",",
+ RowBox[{"h0", "\[Rule]", "1"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "7", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "9", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "8", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "6", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"\[Theta]c", "\[Rule]", "1.05`"}]}], "}"}]], "Output",
+ CellChangeTimes->{
+ 3.713831066559284*^9, 3.713831112701861*^9, 3.713832085309751*^9,
+ 3.713832213217502*^9, 3.713832469145215*^9, {3.713832566552652*^9,
+ 3.713832580159816*^9}, {3.7138326486045513`*^9, 3.713832656563046*^9},
+ 3.7138327000043077`*^9, 3.713832817815892*^9, 3.713833249897732*^9, {
+ 3.7138334097009583`*^9, 3.713833446022357*^9}, 3.713833502321727*^9,
+ 3.713833599305018*^9, 3.713833647022871*^9, {3.713833769394644*^9,
+ 3.713833773886001*^9}, 3.713833898074008*^9, 3.7138340429331827`*^9,
+ 3.714130757378046*^9, 3.714130880596764*^9, 3.714131561114294*^9,
+ 3.714131753507765*^9, 3.714131828422593*^9, 3.714132512037507*^9,
+ 3.71413258529675*^9, {3.714133549525511*^9, 3.7141335649308853`*^9}, {
+ 3.714133632159852*^9, 3.714133660095747*^9}, 3.714133711912559*^9,
+ 3.714135285413211*^9, 3.714135387844594*^9, 3.714136022737186*^9,
+ 3.714136480577766*^9, 3.714136640920517*^9, {3.714137064281291*^9,
+ 3.7141370685324583`*^9}, 3.71413741841052*^9, 3.7141374981567507`*^9,
+ 3.714137769705905*^9, 3.714138046760125*^9, 3.7141381858166523`*^9,
+ 3.714216712183929*^9, 3.714217136828486*^9, {3.714218828235538*^9,
+ 3.714218872339191*^9}, 3.714218958388823*^9, 3.7142189930998707`*^9,
+ 3.7142198610492487`*^9, 3.714220052703328*^9, {3.71422052396697*^9,
+ 3.7142205313956957`*^9}, 3.7142205729616823`*^9, 3.714220727485052*^9,
+ 3.7142207797260923`*^9, 3.714222052421081*^9, 3.7142221371674623`*^9, {
+ 3.714222437065796*^9, 3.7142224941504517`*^9}, 3.7142226417829943`*^9,
+ 3.714224124533435*^9, 3.71422535301851*^9, 3.714225566927404*^9, {
+ 3.714225707618058*^9, 3.714225710971065*^9}, 3.714225744660089*^9, {
+ 3.714226512966567*^9, 3.7142265379184227`*^9}, {3.714227546726138*^9,
+ 3.7142275516178207`*^9}, 3.714227904866914*^9, 3.714228531300805*^9,
+ 3.714228572303961*^9, {3.714228645091041*^9, 3.714228692419847*^9},
+ 3.714228774876802*^9, 3.7142288374882793`*^9, 3.714229083432063*^9,
+ 3.7142291350721397`*^9, 3.7142292459275837`*^9, {3.714230247213169*^9,
+ 3.714230268921009*^9}, {3.714230391794167*^9, 3.7142304157962513`*^9},
+ 3.714230773792137*^9, 3.71423081126753*^9, 3.714231188934504*^9, {
+ 3.7142340682534113`*^9, 3.7142340767786837`*^9}, 3.7142342252326593`*^9, {
+ 3.714234800427127*^9, 3.714234852488331*^9}, 3.7142349314486303`*^9, {
+ 3.7142351496496983`*^9, 3.714235156674369*^9}, 3.7142376372229967`*^9,
+ 3.7142383723799267`*^9, 3.714238435445239*^9, 3.714238515486742*^9, {
+ 3.714238601049823*^9, 3.714238794009695*^9}, 3.714238961244232*^9, {
+ 3.7142390045197153`*^9, 3.7142390196999607`*^9}, {3.7142390621028223`*^9,
+ 3.714239075312615*^9}, {3.7142396088356256`*^9, 3.714239688272138*^9}, {
+ 3.714239888198669*^9, 3.7142399403489513`*^9}, {3.714244256472293*^9,
+ 3.714244346505122*^9}, 3.7142444395168657`*^9, {3.714244540912396*^9,
+ 3.714244576221293*^9}, {3.714244628438135*^9, 3.714244693061357*^9}, {
+ 3.714244724619122*^9, 3.7142448084888573`*^9}, {3.7143079801761637`*^9,
+ 3.714308063777339*^9}, {3.714308101068984*^9, 3.714308196132579*^9}, {
+ 3.714311113224114*^9, 3.714311158964897*^9}, {3.714311196525712*^9,
+ 3.714311268705459*^9}, {3.714311360849689*^9, 3.714311419331312*^9},
+ 3.7148091896052513`*^9},ExpressionUUID->"998aba92-e9ec-40f6-8038-\
+e57794445458"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], "[", "\[Theta]",
+ "]"}], "/.", "sol4"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "0", ",",
+ RowBox[{"\[Theta]c", "/.", "sol4"}]}], "}"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.714237194433928*^9, 3.714237249207802*^9}, {
+ 3.714237753134924*^9, 3.7142377532661743`*^9}, {3.714239104313715*^9,
+ 3.7142391047056093`*^9}},ExpressionUUID->"f9e92c1f-29bb-470e-93c6-\
+47064124681e"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{}, {},
+ TagBox[{
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6],
+ Opacity[1.], LineBox[CompressedData["
+1:eJwV13k0Vl0XAHBKylCmzDKXMclUCvtUiEQyhQz3UalXCYW85oQUMhSSLzKr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=
+
+ "]]}},
+ Annotation[#, "Charting`Private`Tag$14325609#1"]& ]}}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{0, 1.05}, {0., 136.7568602649704}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.714237249652542*^9, 3.714237753773918*^9, 3.714239105669983*^9, {
+ 3.714239676361083*^9, 3.7142396931215553`*^9}, 3.714239898385358*^9, {
+ 3.7142443243230257`*^9, 3.714244347297243*^9}, 3.7142444399106407`*^9, {
+ 3.714244517506166*^9, 3.714244520498968*^9}, {3.714244637156879*^9,
+ 3.714244645686345*^9}, 3.714244811167714*^9, {3.714307982056754*^9,
+ 3.714308036217358*^9}, {3.714308103203912*^9, 3.714308197232725*^9},
+ 3.714311272693912*^9, 3.714311421479597*^9,
+ 3.714809190924062*^9},ExpressionUUID->"3d9384ea-ccac-42c5-a146-\
+9ae6ec18f20e"]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]f", "[",
+ RowBox[{"A", ",", "B", ",", "h0", ",", "\[Theta]c", ",",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "NY"}], "]"}]}], "]"}], "[", "\[Theta]", "]"}], "/.",
+ "sol4"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Theta]c"}], "-", "1"}], "/.", "sol4"}], ",",
+ RowBox[{
+ RowBox[{"\[Theta]c", "+", "1"}], "/.", "sol4"}]}], "}"}], ",",
+ RowBox[{"GridLines", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"\[Theta]c", "/.", "sol4"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Theta]c"}], "/.", "sol4"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}]}], "}"}], ",",
+ "None"}], "}"}]}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7137866834085007`*^9, 3.713786686617977*^9}, {
+ 3.7137867217375593`*^9, 3.713786743847704*^9}, {3.7137868182565737`*^9,
+ 3.713786841939253*^9}, {3.713786924527413*^9, 3.713786974385107*^9}, {
+ 3.713787042137055*^9, 3.713787053211768*^9}, {3.7137870901431293`*^9,
+ 3.713787090608033*^9}, {3.713787341082642*^9, 3.713787364514805*^9}, {
+ 3.713787741825034*^9, 3.713787770799416*^9}, {3.713787886687026*^9,
+ 3.7137878869430323`*^9}, {3.7137888266494427`*^9, 3.713788842463161*^9}, {
+ 3.713792007167099*^9, 3.713792021787199*^9}, 3.7137922767568893`*^9, {
+ 3.7137944309219913`*^9, 3.713794435906933*^9}, {3.713796878199485*^9,
+ 3.713796887244006*^9}, {3.713796921081345*^9, 3.713796926722969*^9},
+ 3.7137971807617273`*^9, {3.713827438749836*^9, 3.7138274538037987`*^9}, {
+ 3.713827581712484*^9, 3.713827582113104*^9}, {3.714130909227974*^9,
+ 3.714130935160301*^9}, {3.7141311982159986`*^9, 3.714131202051044*^9}, {
+ 3.7141312471974087`*^9, 3.714131274688491*^9}, {3.714131759254038*^9,
+ 3.714131761606591*^9}, {3.714132524986391*^9, 3.7141325265937033`*^9}, {
+ 3.7141337230994473`*^9, 3.714133746090554*^9}, {3.7141354101671886`*^9,
+ 3.714135444491536*^9}, {3.7141356514052277`*^9, 3.7141357777377653`*^9}, {
+ 3.714136651714642*^9, 3.714136680207863*^9}, {3.714137789157419*^9,
+ 3.714137796981852*^9}, 3.7141379430983753`*^9, {3.714138196842355*^9,
+ 3.714138197292419*^9}, {3.714222654297492*^9, 3.7142226816813173`*^9}, {
+ 3.7142241379694967`*^9, 3.714224153092409*^9}, {3.714230783232278*^9,
+ 3.714230783558969*^9}, {3.714230818213155*^9, 3.714230826884192*^9}, {
+ 3.7142341440952263`*^9, 3.714234196383806*^9}, {3.714234229569173*^9,
+ 3.714234230040387*^9}, {3.714235501458014*^9, 3.71423551337781*^9}, {
+ 3.714236994527731*^9, 3.714236994990761*^9}, {3.714237025199979*^9,
+ 3.714237025585266*^9}, {3.714237144557452*^9, 3.7142371513264093`*^9}, {
+ 3.714237693385809*^9,
+ 3.7142377327690287`*^9}},ExpressionUUID->"7ed4723b-aedb-4249-9052-\
+891730eaf170"],
+
+Cell[BoxData[
+ GraphicsBox[{{{{}, {},
+ TagBox[{
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6],
+ Opacity[1.], LineBox[CompressedData["
+1:eJw1mnk01G/UwIeEVLLvJEuhhSyR5FIp2VK/QqGQkGyplJI9EkklEVFISChb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=
+
+ "]]}},
+ Annotation[#, "Charting`Private`Tag$14325651#1"]& ]}}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}, {Automatic,
+ Charting`ScaledFrameTicks[{Identity, Identity}]}},
+ GridLines->{{{1.05, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}, {-1.05, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}}, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Identity[#]& )[
+ Part[#, 1]],
+ (Identity[#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-2.05, 2.05}, {-11.672014725875941`, 3.3327562293091244`}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.7137868428211517`*^9, {3.713786876436328*^9, 3.713786880892294*^9}, {
+ 3.713786911917448*^9, 3.713786975636983*^9}, {3.713787043580344*^9,
+ 3.713787054112487*^9}, {3.713787091884612*^9, 3.7137871303293543`*^9}, {
+ 3.7137873441971073`*^9, 3.713787366054718*^9}, {3.713787744869535*^9,
+ 3.713787772248114*^9}, {3.713787873953774*^9, 3.713787896994111*^9}, {
+ 3.7137880959645233`*^9, 3.7137881089961042`*^9}, 3.71378817266117*^9, {
+ 3.713788840185727*^9, 3.713788843629333*^9}, {3.713792008614105*^9,
+ 3.713792022973323*^9}, 3.713792277625108*^9, {3.7137944343878193`*^9,
+ 3.71379443703505*^9}, {3.713796880744809*^9, 3.713796888383353*^9}, {
+ 3.7137969255232973`*^9, 3.713796927819489*^9}, 3.71379718348458*^9, {
+ 3.713827434665268*^9, 3.713827443488235*^9}, 3.713827482758223*^9, {
+ 3.71382758304496*^9, 3.7138276201271763`*^9}, {3.714131200316176*^9,
+ 3.7141312045699244`*^9}, {3.714131254215077*^9, 3.714131277251986*^9},
+ 3.7141317655386057`*^9, {3.714132521860244*^9, 3.714132531422585*^9},
+ 3.714132594800725*^9, {3.714133724809444*^9, 3.714133751537928*^9}, {
+ 3.714135421393217*^9, 3.714135451507843*^9}, {3.71413567570129*^9,
+ 3.7141356998242083`*^9}, {3.714135733025814*^9, 3.7141357840691547`*^9},
+ 3.714136120052483*^9, {3.7141366600281467`*^9, 3.7141366864873533`*^9}, {
+ 3.7141377784504833`*^9, 3.7141377991028967`*^9}, 3.7141379539677057`*^9,
+ 3.714138050818244*^9, {3.714138200060054*^9, 3.7141382064545603`*^9},
+ 3.7142171461980963`*^9, 3.7142188447804413`*^9, 3.714222440250145*^9, {
+ 3.714222657733528*^9, 3.714222683268447*^9}, {3.714224133382696*^9,
+ 3.7142241549538307`*^9}, 3.714225354640583*^9, 3.714225714002556*^9,
+ 3.714227554313645*^9, 3.714227908653563*^9, 3.71422857576338*^9,
+ 3.714228696834524*^9, 3.71422909104809*^9, 3.714229141599442*^9,
+ 3.7142292486960983`*^9, {3.714230780456892*^9, 3.714230785679422*^9}, {
+ 3.7142308157519608`*^9, 3.714230828606016*^9}, 3.7142340780685377`*^9, {
+ 3.714234224600782*^9, 3.714234237139142*^9}, 3.714234307673902*^9,
+ 3.7142348074233837`*^9, 3.714235165607085*^9, {3.714235516500855*^9,
+ 3.714235522453641*^9}, 3.714236139343099*^9, 3.714236584602807*^9, {
+ 3.714236988918172*^9, 3.7142370367497597`*^9}, 3.7142370714636*^9, {
+ 3.714237148119379*^9, 3.714237158503746*^9}, {3.714237735612874*^9,
+ 3.71423774221026*^9}, 3.714239090338779*^9, 3.714239706334008*^9,
+ 3.714239897849392*^9, 3.71424482138444*^9, 3.714311292850456*^9,
+ 3.714311429536284*^9,
+ 3.714809196365543*^9},ExpressionUUID->"fc4ed91d-cbdc-4718-9cc8-\
+1757c326a4d2"]
+}, Open ]],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"func4", "=",
+ RowBox[{"Sort", "@",
+ RowBox[{"(",
+ RowBox[{"Reverse", "/@",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], "[",
+ "\[Theta]", "]"}], "/",
+ SuperscriptBox[
+ RowBox[{"Abs", "[",
+ RowBox[{"1", "-",
+ SuperscriptBox["\[Theta]", "2"]}], "]"}], "\[CapitalDelta]"]}],
+ "/.", "sol4"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "1.0001", ",",
+ RowBox[{"\[Theta]c", "/.", "sol4"}], ",", "0.0001"}], "}"}]}],
+ "]"}]}], ")"}]}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.7142298098317432`*^9, 3.7142298123326817`*^9}, {
+ 3.7142298482193117`*^9, 3.714229970202634*^9}, {3.714230013902568*^9,
+ 3.714230017096983*^9}, {3.7142301874072742`*^9, 3.714230189981579*^9}, {
+ 3.7142343285785217`*^9, 3.71423437965909*^9}, {3.714234573883163*^9,
+ 3.7142345750236673`*^9}, {3.7142355328610573`*^9, 3.714235539168882*^9}, {
+ 3.714237173649082*^9, 3.714237175624524*^9}, {3.7142372663876457`*^9,
+ 3.7142372666578074`*^9}, {3.7142377466192083`*^9, 3.714237750718816*^9}, {
+ 3.714239125280096*^9, 3.7142391253922577`*^9}}],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Show", "[",
+ RowBox[{
+ RowBox[{"ListLogLogPlot", "[", "sus", "]"}], ",",
+ RowBox[{"ListLogLogPlot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[", "1", "]"}], "]"}], ",",
+ RowBox[{
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "2"], "-", "1"}], ")"}],
+ RowBox[{"7", "/", "4"}]],
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]f", "[",
+ RowBox[{"A", ",", "B", ",", "h0", ",", "\[Theta]c", ",",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "NY"}], "]"}]}], "]"}], "[",
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "]"}]}], "/.", "sol4"}]}], "}"}],
+ "&"}], "/@", "func4"}], ",",
+ RowBox[{"Joined", "\[Rule]", "True"}], ",",
+ RowBox[{"PlotStyle", "\[Rule]", "Green"}]}], "]"}], ",",
+ RowBox[{"LogLogPlot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ",", "B"}], "]"}], "[", "X",
+ "]"}], ",",
+ RowBox[{"{",
+ RowBox[{"X", ",", "0.001", ",", "1000"}], "}"}], ",",
+ RowBox[{"PlotStyle", "\[Rule]", "Red"}]}], "]"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7142246940999937`*^9, 3.714224710004931*^9}, {
+ 3.714224744516198*^9, 3.714224747961575*^9}, {3.714228221815777*^9,
+ 3.714228223946136*^9}, {3.7142301107700567`*^9, 3.714230113937529*^9}, {
+ 3.714231178048731*^9, 3.714231307094479*^9}, {3.71423525344033*^9,
+ 3.714235256372561*^9}, {3.714235546552792*^9, 3.714235548823525*^9}, {
+ 3.7142371795421753`*^9, 3.714237179715836*^9}, {3.714237270963962*^9,
+ 3.714237271437516*^9}, {3.7142391347674723`*^9, 3.714239137562055*^9}, {
+ 3.7148292581053762`*^9,
+ 3.714829258296369*^9}},ExpressionUUID->"ea63eb69-ef26-4a44-bf85-\
+07d42352682b"],
+
+Cell[BoxData[
+ TemplateBox[{
+ "Power","infy",
+ "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \
+SuperscriptBox[\\\"0.`\\\", \\\"3\\\"]]\\) encountered.\"",2,1375,619,
+ 24341478121268143615,"Local"},
+ "MessageTemplate"]], "Message", "MSG",
+ CellChangeTimes->{3.71431130525714*^9,
+ 3.714311433278891*^9},ExpressionUUID->"ddb2d83a-896c-4717-a699-\
+a438f795e8c4"],
+
+Cell[BoxData[
+ TemplateBox[{
+ "Power","infy",
+ "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0.`\\\"]\\) \
+encountered.\"",2,1375,620,24341478121268143615,"Local"},
+ "MessageTemplate"]], "Message", "MSG",
+ CellChangeTimes->{3.71431130525714*^9,
+ 3.7143114334266367`*^9},ExpressionUUID->"318b87b8-513b-49c4-b379-\
+c4c23ae6a44d"],
+
+Cell[BoxData[
+ TemplateBox[{
+ "Infinity","indet",
+ "\"Indeterminate expression \
+\\!\\(\\*SuperscriptBox[\\\"\[ExponentialE]\\\", \\\"ComplexInfinity\\\"]\\) \
+encountered.\"",2,1375,621,24341478121268143615,"Local"},
+ "MessageTemplate"]], "Message", "MSG",
+ CellChangeTimes->{3.71431130525714*^9,
+ 3.714311433624057*^9},ExpressionUUID->"25e19bf0-5ae4-4c26-887e-\
+2712609a2e14"],
+
+Cell[BoxData[
+ TemplateBox[{
+ "Power","infy",
+ "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0.`\\\"]\\) \
+encountered.\"",2,1375,622,24341478121268143615,"Local"},
+ "MessageTemplate"]], "Message", "MSG",
+ CellChangeTimes->{3.71431130525714*^9,
+ 3.7143114336477947`*^9},ExpressionUUID->"2339f608-6b9d-464c-afca-\
+fca4376dc19e"],
+
+Cell[BoxData[
+ TemplateBox[{
+ "General","stop",
+ "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Power\\\", \\\"::\\\", \
+\\\"infy\\\"}], \\\"MessageName\\\"]\\) will be suppressed during this \
+calculation.\"",2,1375,623,24341478121268143615,"Local"},
+ "MessageTemplate"]], "Message", "MSG",
+ CellChangeTimes->{3.71431130525714*^9,
+ 3.714311433866568*^9},ExpressionUUID->"d5f31e07-342e-47ba-af6f-\
+5ac44d0a58ee"],
+
+Cell[BoxData[
+ GraphicsBox[{{{}, {{},
+ {RGBColor[0.368417, 0.506779, 0.709798], PointSize[
+ 0.0055000000000000005`], AbsoluteThickness[1.6],
+ PointBox[CompressedData["
+1:eJwVl3k8FW8bxq3Hdubsx7GTrUiorIkZ0mJNJLRIKipUWixtRKEUUZbKD6Gy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+
+ "]]}, {}}, {}, {}, {{}, {}}}, {{}, {{}, {},
+ {RGBColor[0, 1, 0], PointSize[0.007333333333333334], AbsoluteThickness[
+ 1.6], LineBox[CompressedData["
+1:eJwVkHc81f8Xx+3N3dvl3usSEiVKQ58TIV8rCYloaGpYiSgtSkkqWpKiQYsG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+ "]]}}, {}, {}, {{}, {}}}, {{{}, {},
+ TagBox[
+ {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.],
+ LineBox[CompressedData["
+1:eJwt2Xk4VO8XAHD7PjN2M3NlmUqlkJAtTBRSKRSyZckaIVuKVLZIRSmhxRZR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+ "]]},
+ Annotation[#, "Charting`Private`Tag$143786#1"]& ]}, {}, {}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{-6.271123276191262, -9.801059382476273},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledFrameTicks[{Log, Exp}]}, {
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledFrameTicks[{Log, Exp}]}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ ImageSize->{585., Automatic},
+ Method->{"CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Part[{{Log, Exp}, {Log, Exp}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Log, Exp}, {Log, Exp}}, 2, 2][#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Part[{{Log, Exp}, {Log, Exp}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Log, Exp}, {Log, Exp}}, 2, 2][#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-6.066242635851156,
+ 7.046118345915609}, {-9.701519868618796, -3.530070009455368}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.02],
+ Scaled[0.05]}},
+ Ticks->FrontEndValueCache[{
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledTicks[{Log, Exp}]}, {{{-4.605170185988091,
+ FormBox["0.01`", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.3025850929940455`,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.10\"", 0.1, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 2.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {0.,
+ FormBox["1", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.302585092994046,
+ FormBox["10", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.605170185988092,
+ FormBox["100", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.907755278982137,
+ FormBox["1000", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.907755278982137,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.809142990314028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.521460917862246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.298317366548036,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.115995809754082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.961845129926823,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.8283137373023015`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.710530701645918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.506557897319982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.2188758248682006`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.8134107167600364`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.659260036932778,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.5257286443082556`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.4079456086518722`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.2039728043259361`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.916290731874155,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.5108256237659907,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.35667494393873245`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.2231435513142097,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.10536051565782628`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.0986122886681098`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.3862943611198906`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.791759469228055,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.9459101490553132`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.0794415416798357`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.1972245773362196`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {3.4011973816621555`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {3.6888794541139363`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.0943445622221,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.248495242049359,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.382026634673881,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.499809670330265,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {5.298317366548036,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {5.703782474656201,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {5.991464547107982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.396929655216146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.551080335043404,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.684611727667927,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.802394763324311,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {7.600902459542082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.006367567650246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.294049640102028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.517193191416238,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.699514748210191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.85366542803745,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.987196820661973,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.104979856318357,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.210340371976184,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.305650551780507,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.392661928770137,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.472704636443673,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.546812608597396,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.615805480084347,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.680344001221918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}}, {{-9.210340371976182,
+ FormBox[
+ TemplateBox[{"\[Times]", "\"\[Times]\"", "1.`",
+ TemplateBox[{"10",
+ RowBox[{"-", "4"}]}, "Superscript", SyntaxForm ->
+ SuperscriptBox]}, "RowWithSeparators"], TraditionalForm], {0.01,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.600902459542082,
+ FormBox[
+ TemplateBox[{"\[Times]", "\"\[Times]\"", "5.`",
+ TemplateBox[{"10",
+ RowBox[{"-", "4"}]}, "Superscript", SyntaxForm ->
+ SuperscriptBox]}, "RowWithSeparators"], TraditionalForm], {0.01,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.907755278982137,
+ FormBox["0.001`", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.298317366548036,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.005\"", 0.005, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.605170185988091,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.010\"", 0.01, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.903487552536127,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.721165995742174,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.567015315914915,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.433483923290392,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.315700887634009,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-8.517193191416238,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-8.111728083308073,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.824046010856292,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.418580902748128,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.264430222920869,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.1308988302963465`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.013115794639964,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.809142990314028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.521460917862246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.115995809754082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.961845129926823,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.8283137373023015`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.710530701645918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.506557897319982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.2188758248682006`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.8134107167600364`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.659260036932778,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.5257286443082556`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.4079456086518722`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.3025850929940455`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.8971199848858813`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.3862943611198906`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}}}]]], "Output",
+ CellChangeTimes->{{3.714231218528014*^9, 3.714231255059382*^9}, {
+ 3.7142313035157547`*^9, 3.714231308612544*^9}, 3.714231351814006*^9,
+ 3.714235201839225*^9, 3.714235263324795*^9, 3.7142355579614763`*^9,
+ 3.7142361528419724`*^9, 3.714236603635973*^9, 3.71423707986604*^9,
+ 3.714237192861849*^9, 3.714237278108419*^9, 3.714239147859034*^9,
+ 3.7142397145181503`*^9, 3.714244839156539*^9, 3.7143113116700573`*^9,
+ 3.714311439192999*^9},ImageCache->GraphicsData["CompressedBitmap", "\<\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\
+\>"]]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"i1", "[",
+ RowBox[{"[", "3", "]"}], "]"}]], "Input",
+ CellChangeTimes->{{3.714253734230193*^9, 3.71425374642171*^9}}],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{"0.006466910207880226`", ",", "0.00005717924596405139`"}],
+ "}"}]], "Output",
+ CellChangeTimes->{{3.714253735807671*^9, 3.7142537472978373`*^9}}]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"sol5", "=",
+ RowBox[{
+ RowBox[{
+ RowBox[{"Join", "[",
+ RowBox[{
+ RowBox[{"FindRoot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"eqset1", "[",
+ RowBox[{"[",
+ RowBox[{"{",
+ RowBox[{"1", ",", "2", ",", "3", ",", "4", ",", "6", ",", "5"}],
+ "}"}], "]"}], "]"}], "/.", "#"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"A", ",",
+ RowBox[{"A", "/.", "sol4"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "/.", "sol4"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], "/.", "sol4"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "/.", "sol4"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"a", "[", "7", "]"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "7", "]"}], "/.", "sol4"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"h0", ",", "0.15"}], "}"}]}], "}"}], ",",
+ RowBox[{"MaxIterations", "\[Rule]", "20000"}]}], "]"}], ",", "#"}],
+ "]"}], "&"}], "@",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"a", "[", "9", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "\[Rule]", "1"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "4", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "6", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "8", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"\[Theta]c", "\[Rule]", "\[Theta]cCas"}]}], "}"}]}]}]], "Input",
+ CellChangeTimes->{{3.713831066059136*^9, 3.713831114419949*^9}, {
+ 3.713832086342939*^9, 3.713832087146991*^9}, {3.713832443210457*^9,
+ 3.71383247590783*^9}, {3.7138325453876143`*^9, 3.71383257899848*^9}, {
+ 3.713832645046439*^9, 3.7138326560760612`*^9}, {3.7138326991394243`*^9,
+ 3.7138326994458647`*^9}, {3.713832816558237*^9, 3.7138328172172956`*^9}, {
+ 3.71383324788846*^9, 3.7138332492651052`*^9}, {3.713833327860799*^9,
+ 3.7138333291494303`*^9}, {3.713833418470818*^9, 3.713833464722061*^9}, {
+ 3.713833594839151*^9, 3.713833611792164*^9}, {3.713833751232513*^9,
+ 3.7138337733205357`*^9}, {3.7138338935803432`*^9, 3.71383389764952*^9}, {
+ 3.713833977265209*^9, 3.713833977437888*^9}, {3.714130746095714*^9,
+ 3.714130752417535*^9}, {3.714131444777457*^9, 3.71413146194755*^9}, {
+ 3.714131811697412*^9, 3.71413182020647*^9}, {3.714132504897458*^9,
+ 3.714132510886056*^9}, {3.714132583386449*^9, 3.714132583844833*^9}, {
+ 3.714132701221189*^9, 3.714132701782814*^9}, {3.714133534399131*^9,
+ 3.714133558984832*^9}, {3.714133594451292*^9, 3.714133599334178*^9}, {
+ 3.714133656289473*^9, 3.714133659408723*^9}, {3.714133702685569*^9,
+ 3.7141337095377398`*^9}, {3.714135158602571*^9, 3.714135161377743*^9}, {
+ 3.714136383553753*^9, 3.714136390710567*^9}, {3.7141366366138763`*^9,
+ 3.714136640247182*^9}, {3.7141370624049597`*^9, 3.714137067529714*^9}, {
+ 3.7141374146316643`*^9, 3.714137417524489*^9}, {3.7141376643046007`*^9,
+ 3.714137667902017*^9}, {3.7141378776131363`*^9, 3.714137881686089*^9}, {
+ 3.714218827498001*^9, 3.714218869025569*^9}, {3.7142189863315353`*^9,
+ 3.714218987954876*^9}, 3.714219860194908*^9, {3.71422000943849*^9,
+ 3.7142200229751*^9}, {3.714220528713284*^9, 3.714220530958644*^9}, {
+ 3.714222448169318*^9, 3.714222493675877*^9}, {3.714224116376823*^9,
+ 3.714224124055456*^9}, {3.7142265274866257`*^9, 3.714226537415072*^9}, {
+ 3.71422755090343*^9, 3.714227551248034*^9}, {3.7142285616056004`*^9,
+ 3.714228571445447*^9}, {3.714228617742125*^9, 3.714228667463087*^9}, {
+ 3.7142287129795523`*^9, 3.714228713223896*^9}, {3.714228935225925*^9,
+ 3.714228938864719*^9}, {3.71422911606817*^9, 3.714229133329981*^9}, {
+ 3.7142292386320868`*^9, 3.714229245435895*^9}, {3.714230235981173*^9,
+ 3.714230323990468*^9}, {3.714230396529126*^9, 3.714230414600062*^9}, {
+ 3.714230688859643*^9, 3.7142306967192698`*^9}, {3.714230804099308*^9,
+ 3.7142308083055067`*^9}, {3.714231108707711*^9, 3.714231119273892*^9}, {
+ 3.714234044315946*^9, 3.71423407577668*^9}, {3.7142342031374617`*^9,
+ 3.7142342182984962`*^9}, {3.7142347884054403`*^9, 3.714234860081077*^9}, {
+ 3.714235136722487*^9, 3.7142351557932053`*^9}, {3.7142375390176773`*^9,
+ 3.714237541908584*^9}, {3.714238260860187*^9, 3.7142382853524113`*^9}, {
+ 3.714238401919981*^9, 3.7142384339898043`*^9}, {3.714238481864447*^9,
+ 3.714238513094298*^9}, {3.714238562008102*^9, 3.7142387935640097`*^9}, {
+ 3.714238954945271*^9, 3.714239018460537*^9}, {3.71423906060011*^9,
+ 3.714239074625094*^9}, {3.714239582453517*^9, 3.7142396877118473`*^9}, {
+ 3.714239884756308*^9, 3.7142399368332033`*^9}, {3.714244242032011*^9,
+ 3.714244349367136*^9}, {3.714244528637442*^9, 3.714244579228953*^9}, {
+ 3.71424464211333*^9, 3.7142448078237457`*^9}, {3.7142459168523607`*^9,
+ 3.714245972705943*^9}, {3.714247434516253*^9, 3.7142475441516123`*^9}, {
+ 3.7142496101823387`*^9, 3.7142496363155737`*^9}, {3.714251336365851*^9,
+ 3.714251341048628*^9}, {3.714251439933337*^9, 3.714251490629084*^9}, {
+ 3.714251525366747*^9, 3.714251641006934*^9}, {3.714251703650457*^9,
+ 3.714251782007164*^9}, {3.714251841256949*^9, 3.714251841529937*^9}, {
+ 3.714251905813477*^9, 3.714251914947798*^9}, {3.714251976080098*^9,
+ 3.714251976396077*^9}, {3.714252155459276*^9, 3.7142521568926697`*^9}}],
+
+Cell[BoxData[
+ TemplateBox[{
+ "FindRoot","cvmit",
+ "\"Failed to converge to the requested accuracy or precision within \\!\\(\
+\\*RowBox[{\\\"20000\\\"}]\\) iterations.\"",2,932,334,24341478121268143615,
+ "Local"},
+ "MessageTemplate"]], "Message", "MSG",
+ CellChangeTimes->{{3.714251705569769*^9, 3.714251769261262*^9},
+ 3.714251812515238*^9, {3.7142518855352383`*^9, 3.714251909102304*^9},
+ 3.714251954644566*^9, 3.714252113203176*^9,
+ 3.71425236774688*^9},ExpressionUUID->"3d753f0d-3cf1-43ee-ab31-\
+933bb47c49db"],
+
+Cell[BoxData[
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"A", "\[Rule]",
+ RowBox[{"-", "48.352663544832`"}]}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "0", "]"}], "\[Rule]", "2724.137437549001`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "5", "]"}], "\[Rule]", "676.2185241808675`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "3", "]"}], "\[Rule]",
+ RowBox[{"-", "337.8165859286815`"}]}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "7", "]"}], "\[Rule]",
+ RowBox[{"-", "319.2758594229329`"}]}], ",",
+ RowBox[{"h0", "\[Rule]", "0.01329555771004111`"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "9", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"a", "[", "1", "]"}], "\[Rule]", "1"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "2", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "4", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "6", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{
+ RowBox[{"b", "[", "8", "]"}], "\[Rule]", "0"}], ",",
+ RowBox[{"\[Theta]c", "\[Rule]", "1.08144`"}]}], "}"}]], "Output",
+ CellChangeTimes->{
+ 3.713831066559284*^9, 3.713831112701861*^9, 3.713832085309751*^9,
+ 3.713832213217502*^9, 3.713832469145215*^9, {3.713832566552652*^9,
+ 3.713832580159816*^9}, {3.7138326486045513`*^9, 3.713832656563046*^9},
+ 3.7138327000043077`*^9, 3.713832817815892*^9, 3.713833249897732*^9, {
+ 3.7138334097009583`*^9, 3.713833446022357*^9}, 3.713833502321727*^9,
+ 3.713833599305018*^9, 3.713833647022871*^9, {3.713833769394644*^9,
+ 3.713833773886001*^9}, 3.713833898074008*^9, 3.7138340429331827`*^9,
+ 3.714130757378046*^9, 3.714130880596764*^9, 3.714131561114294*^9,
+ 3.714131753507765*^9, 3.714131828422593*^9, 3.714132512037507*^9,
+ 3.71413258529675*^9, {3.714133549525511*^9, 3.7141335649308853`*^9}, {
+ 3.714133632159852*^9, 3.714133660095747*^9}, 3.714133711912559*^9,
+ 3.714135285413211*^9, 3.714135387844594*^9, 3.714136022737186*^9,
+ 3.714136480577766*^9, 3.714136640920517*^9, {3.714137064281291*^9,
+ 3.7141370685324583`*^9}, 3.71413741841052*^9, 3.7141374981567507`*^9,
+ 3.714137769705905*^9, 3.714138046760125*^9, 3.7141381858166523`*^9,
+ 3.714216712183929*^9, 3.714217136828486*^9, {3.714218828235538*^9,
+ 3.714218872339191*^9}, 3.714218958388823*^9, 3.7142189930998707`*^9,
+ 3.7142198610492487`*^9, 3.714220052703328*^9, {3.71422052396697*^9,
+ 3.7142205313956957`*^9}, 3.7142205729616823`*^9, 3.714220727485052*^9,
+ 3.7142207797260923`*^9, 3.714222052421081*^9, 3.7142221371674623`*^9, {
+ 3.714222437065796*^9, 3.7142224941504517`*^9}, 3.7142226417829943`*^9,
+ 3.714224124533435*^9, 3.71422535301851*^9, 3.714225566927404*^9, {
+ 3.714225707618058*^9, 3.714225710971065*^9}, 3.714225744660089*^9, {
+ 3.714226512966567*^9, 3.7142265379184227`*^9}, {3.714227546726138*^9,
+ 3.7142275516178207`*^9}, 3.714227904866914*^9, 3.714228531300805*^9,
+ 3.714228572303961*^9, {3.714228645091041*^9, 3.714228692419847*^9},
+ 3.714228774876802*^9, 3.7142288374882793`*^9, 3.714229083432063*^9,
+ 3.7142291350721397`*^9, 3.7142292459275837`*^9, {3.714230247213169*^9,
+ 3.714230268921009*^9}, {3.714230391794167*^9, 3.7142304157962513`*^9},
+ 3.714230773792137*^9, 3.71423081126753*^9, 3.714231188934504*^9, {
+ 3.7142340682534113`*^9, 3.7142340767786837`*^9}, 3.7142342252326593`*^9, {
+ 3.714234800427127*^9, 3.714234852488331*^9}, 3.7142349314486303`*^9, {
+ 3.7142351496496983`*^9, 3.714235156674369*^9}, 3.7142376372229967`*^9,
+ 3.7142383723799267`*^9, 3.714238435445239*^9, 3.714238515486742*^9, {
+ 3.714238601049823*^9, 3.714238794009695*^9}, 3.714238961244232*^9, {
+ 3.7142390045197153`*^9, 3.7142390196999607`*^9}, {3.7142390621028223`*^9,
+ 3.714239075312615*^9}, {3.7142396088356256`*^9, 3.714239688272138*^9}, {
+ 3.714239888198669*^9, 3.7142399403489513`*^9}, {3.714244256472293*^9,
+ 3.714244346505122*^9}, 3.7142444395168657`*^9, {3.714244540912396*^9,
+ 3.714244576221293*^9}, {3.714244628438135*^9, 3.714244693061357*^9}, {
+ 3.714244724619122*^9, 3.7142448084888573`*^9}, {3.714245957562005*^9,
+ 3.7142459835067463`*^9}, {3.7142474359209967`*^9, 3.714247505025838*^9}, {
+ 3.714247535310546*^9, 3.7142475480159187`*^9}, {3.7142496117282248`*^9,
+ 3.714249636903482*^9}, {3.714251337448894*^9, 3.714251342137124*^9},
+ 3.714251466239421*^9, {3.7142515227401323`*^9, 3.714251575581444*^9}, {
+ 3.7142516090026493`*^9, 3.714251637358695*^9}, {3.714251701682328*^9,
+ 3.7142517694522343`*^9}, 3.714251812583099*^9, {3.7142518855691643`*^9,
+ 3.714251909138688*^9}, 3.714251954711607*^9, 3.7142521134462833`*^9,
+ 3.714252367778821*^9}]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], "[", "\[Theta]",
+ "]"}], "/.", "sol5"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "0", ",",
+ RowBox[{"\[Theta]c", "/.", "sol4"}]}], "}"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.714237194433928*^9, 3.714237249207802*^9}, {
+ 3.714237753134924*^9, 3.7142377532661743`*^9}, {3.714239104313715*^9,
+ 3.7142391047056093`*^9}, {3.7142496210279303`*^9, 3.714249621212278*^9}}],
+
+Cell[BoxData[
+ GraphicsBox[{{{}, {},
+ TagBox[
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6], Opacity[
+ 1.], LineBox[CompressedData["
+1:eJwVl3c8lf/7x+15pCQUISMyIuMcJNedMiIpJJ+KqIRoWCllhvRN9h4RskVW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+ "]]},
+ Annotation[#, "Charting`Private`Tag$121608#1"]& ]}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 2, 2][#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 2, 2][#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{0, 1.08144}, {-23.331373049574456`, 3.577962288207878}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.714237249652542*^9, 3.714237753773918*^9, 3.714239105669983*^9, {
+ 3.714239676361083*^9, 3.7142396931215553`*^9}, 3.714239898385358*^9, {
+ 3.7142443243230257`*^9, 3.714244347297243*^9}, 3.7142444399106407`*^9, {
+ 3.714244517506166*^9, 3.714244520498968*^9}, {3.714244637156879*^9,
+ 3.714244645686345*^9}, 3.714244811167714*^9, {3.714249616527873*^9,
+ 3.714249621877314*^9}, 3.714251345441925*^9, {3.7142515391716413`*^9,
+ 3.714251577704163*^9}, 3.7142524992488194`*^9}]
+}, Open ]],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Plot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]f", "[",
+ RowBox[{"A", ",", "B", ",", "h0", ",", "\[Theta]c", ",",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "NY"}], "]"}]}], "]"}], "[", "\[Theta]", "]"}], "/.",
+ "sol5"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Theta]c"}], "-", ".1"}], "/.", "sol5"}], ",",
+ RowBox[{
+ RowBox[{"\[Theta]c", "+", ".1"}], "/.", "sol5"}]}], "}"}], ",",
+ RowBox[{"GridLines", "\[Rule]",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"\[Theta]c", "/.", "sol5"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Theta]c"}], "/.", "sol5"}], ",",
+ RowBox[{"{",
+ RowBox[{"Red", ",", "Thick"}], "}"}]}], "}"}]}], "}"}], ",",
+ "None"}], "}"}]}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7137866834085007`*^9, 3.713786686617977*^9}, {
+ 3.7137867217375593`*^9, 3.713786743847704*^9}, {3.7137868182565737`*^9,
+ 3.713786841939253*^9}, {3.713786924527413*^9, 3.713786974385107*^9}, {
+ 3.713787042137055*^9, 3.713787053211768*^9}, {3.7137870901431293`*^9,
+ 3.713787090608033*^9}, {3.713787341082642*^9, 3.713787364514805*^9}, {
+ 3.713787741825034*^9, 3.713787770799416*^9}, {3.713787886687026*^9,
+ 3.7137878869430323`*^9}, {3.7137888266494427`*^9, 3.713788842463161*^9}, {
+ 3.713792007167099*^9, 3.713792021787199*^9}, 3.7137922767568893`*^9, {
+ 3.7137944309219913`*^9, 3.713794435906933*^9}, {3.713796878199485*^9,
+ 3.713796887244006*^9}, {3.713796921081345*^9, 3.713796926722969*^9},
+ 3.7137971807617273`*^9, {3.713827438749836*^9, 3.7138274538037987`*^9}, {
+ 3.713827581712484*^9, 3.713827582113104*^9}, {3.714130909227974*^9,
+ 3.714130935160301*^9}, {3.7141311982159986`*^9, 3.714131202051044*^9}, {
+ 3.7141312471974087`*^9, 3.714131274688491*^9}, {3.714131759254038*^9,
+ 3.714131761606591*^9}, {3.714132524986391*^9, 3.7141325265937033`*^9}, {
+ 3.7141337230994473`*^9, 3.714133746090554*^9}, {3.7141354101671886`*^9,
+ 3.714135444491536*^9}, {3.7141356514052277`*^9, 3.7141357777377653`*^9}, {
+ 3.714136651714642*^9, 3.714136680207863*^9}, {3.714137789157419*^9,
+ 3.714137796981852*^9}, 3.7141379430983753`*^9, {3.714138196842355*^9,
+ 3.714138197292419*^9}, {3.714222654297492*^9, 3.7142226816813173`*^9}, {
+ 3.7142241379694967`*^9, 3.714224153092409*^9}, {3.714230783232278*^9,
+ 3.714230783558969*^9}, {3.714230818213155*^9, 3.714230826884192*^9}, {
+ 3.7142341440952263`*^9, 3.714234196383806*^9}, {3.714234229569173*^9,
+ 3.714234230040387*^9}, {3.714235501458014*^9, 3.71423551337781*^9}, {
+ 3.714236994527731*^9, 3.714236994990761*^9}, {3.714237025199979*^9,
+ 3.714237025585266*^9}, {3.714237144557452*^9, 3.7142371513264093`*^9}, {
+ 3.714237693385809*^9, 3.7142377327690287`*^9}, {3.7142513747279797`*^9,
+ 3.714251404809825*^9}, {3.714251564084955*^9, 3.714251564304915*^9}}],
+
+Cell[BoxData[
+ GraphicsBox[{{{}, {},
+ TagBox[
+ {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6], Opacity[
+ 1.], LineBox[{{-1.1814399517779592`, -2.4171161378818846`}, \
+{-1.1807152623598682`, -2.6187404129655985`}, {-1.179990572941777, \
+-2.8152752063980078`}, {-1.1791852905628963`, -3.0249610600870227`}}],
+ LineBox[CompressedData["
+1:eJw1mmk4ld/Xx03Rz1wqFI0aNKpExhUpUZEiU4MhUqQ0USkqYxIZS2SoZMg8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+ "]],
+ LineBox[{{1.1791931062381666`, -3.0249610600870227`}, {
+ 1.1798180066169937`, -2.8613136460447355`}, {
+ 1.1806289791974764`, -2.6424091704180817`}, {
+ 1.1814399517779592`, -2.4171161378818846`}}]},
+ Annotation[#, "Charting`Private`Tag$121450#1"]& ]}, {}, {}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{0, 0},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}},
+ GridLines->{{{1.08144, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}, {-1.08144, {
+ RGBColor[1, 0, 0],
+ Thickness[Large]}}}, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ Method->{
+ "DefaultBoundaryStyle" -> Automatic, "DefaultMeshStyle" ->
+ AbsolutePointSize[6], "ScalingFunctions" -> None,
+ "CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 2, 2][#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Identity, Identity}, {Identity, Identity}}, 2, 2][#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-1.18144, 1.18144}, {-3.0249610600870227`, 0.9625816449082012}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.05],
+ Scaled[0.05]}},
+ Ticks->{Automatic, Automatic}]], "Output",
+ CellChangeTimes->{
+ 3.7137868428211517`*^9, {3.713786876436328*^9, 3.713786880892294*^9}, {
+ 3.713786911917448*^9, 3.713786975636983*^9}, {3.713787043580344*^9,
+ 3.713787054112487*^9}, {3.713787091884612*^9, 3.7137871303293543`*^9}, {
+ 3.7137873441971073`*^9, 3.713787366054718*^9}, {3.713787744869535*^9,
+ 3.713787772248114*^9}, {3.713787873953774*^9, 3.713787896994111*^9}, {
+ 3.7137880959645233`*^9, 3.7137881089961042`*^9}, 3.71378817266117*^9, {
+ 3.713788840185727*^9, 3.713788843629333*^9}, {3.713792008614105*^9,
+ 3.713792022973323*^9}, 3.713792277625108*^9, {3.7137944343878193`*^9,
+ 3.71379443703505*^9}, {3.713796880744809*^9, 3.713796888383353*^9}, {
+ 3.7137969255232973`*^9, 3.713796927819489*^9}, 3.71379718348458*^9, {
+ 3.713827434665268*^9, 3.713827443488235*^9}, 3.713827482758223*^9, {
+ 3.71382758304496*^9, 3.7138276201271763`*^9}, {3.714131200316176*^9,
+ 3.7141312045699244`*^9}, {3.714131254215077*^9, 3.714131277251986*^9},
+ 3.7141317655386057`*^9, {3.714132521860244*^9, 3.714132531422585*^9},
+ 3.714132594800725*^9, {3.714133724809444*^9, 3.714133751537928*^9}, {
+ 3.714135421393217*^9, 3.714135451507843*^9}, {3.71413567570129*^9,
+ 3.7141356998242083`*^9}, {3.714135733025814*^9, 3.7141357840691547`*^9},
+ 3.714136120052483*^9, {3.7141366600281467`*^9, 3.7141366864873533`*^9}, {
+ 3.7141377784504833`*^9, 3.7141377991028967`*^9}, 3.7141379539677057`*^9,
+ 3.714138050818244*^9, {3.714138200060054*^9, 3.7141382064545603`*^9},
+ 3.7142171461980963`*^9, 3.7142188447804413`*^9, 3.714222440250145*^9, {
+ 3.714222657733528*^9, 3.714222683268447*^9}, {3.714224133382696*^9,
+ 3.7142241549538307`*^9}, 3.714225354640583*^9, 3.714225714002556*^9,
+ 3.714227554313645*^9, 3.714227908653563*^9, 3.71422857576338*^9,
+ 3.714228696834524*^9, 3.71422909104809*^9, 3.714229141599442*^9,
+ 3.7142292486960983`*^9, {3.714230780456892*^9, 3.714230785679422*^9}, {
+ 3.7142308157519608`*^9, 3.714230828606016*^9}, 3.7142340780685377`*^9, {
+ 3.714234224600782*^9, 3.714234237139142*^9}, 3.714234307673902*^9,
+ 3.7142348074233837`*^9, 3.714235165607085*^9, {3.714235516500855*^9,
+ 3.714235522453641*^9}, 3.714236139343099*^9, 3.714236584602807*^9, {
+ 3.714236988918172*^9, 3.7142370367497597`*^9}, 3.7142370714636*^9, {
+ 3.714237148119379*^9, 3.714237158503746*^9}, {3.714237735612874*^9,
+ 3.71423774221026*^9}, 3.714239090338779*^9, 3.714239706334008*^9,
+ 3.714239897849392*^9, 3.71424482138444*^9, 3.7142513012756844`*^9,
+ 3.714251354693841*^9, {3.71425138719446*^9, 3.71425141178547*^9}, {
+ 3.714251568248114*^9, 3.714251585883616*^9}}]
+}, Open ]],
+
+Cell[BoxData[
+ RowBox[{
+ RowBox[{"func5", "=",
+ RowBox[{"Sort", "@",
+ RowBox[{"(",
+ RowBox[{"Reverse", "/@",
+ RowBox[{"Table", "[",
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], "[",
+ "\[Theta]", "]"}], "/",
+ SuperscriptBox[
+ RowBox[{"Abs", "[",
+ RowBox[{"1", "-",
+ SuperscriptBox["\[Theta]", "2"]}], "]"}], "\[CapitalDelta]"]}],
+ "/.", "sol5"}]}], "}"}], ",",
+ RowBox[{"{",
+ RowBox[{"\[Theta]", ",", "1.0001", ",",
+ RowBox[{"\[Theta]c", "/.", "sol5"}], ",", "0.0001"}], "}"}]}],
+ "]"}]}], ")"}]}]}], ";"}]], "Input",
+ CellChangeTimes->{{3.7142298098317432`*^9, 3.7142298123326817`*^9}, {
+ 3.7142298482193117`*^9, 3.714229970202634*^9}, {3.714230013902568*^9,
+ 3.714230017096983*^9}, {3.7142301874072742`*^9, 3.714230189981579*^9}, {
+ 3.7142343285785217`*^9, 3.71423437965909*^9}, {3.714234573883163*^9,
+ 3.7142345750236673`*^9}, {3.7142355328610573`*^9, 3.714235539168882*^9}, {
+ 3.714237173649082*^9, 3.714237175624524*^9}, {3.7142372663876457`*^9,
+ 3.7142372666578074`*^9}, {3.7142377466192083`*^9, 3.714237750718816*^9}, {
+ 3.714239125280096*^9, 3.7142391253922577`*^9}, {3.714251391020163*^9,
+ 3.714251396293126*^9}}],
+
+Cell[CellGroupData[{
+
+Cell[BoxData[
+ RowBox[{"Show", "[",
+ RowBox[{
+ RowBox[{"ListLogLogPlot", "[", "sus", "]"}], ",",
+ RowBox[{"ListLogLogPlot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{
+ RowBox[{"{",
+ RowBox[{
+ RowBox[{"#", "[",
+ RowBox[{"[", "1", "]"}], "]"}], ",",
+ RowBox[{
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"(",
+ RowBox[{
+ SuperscriptBox[
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "2"], "-", "1"}], ")"}],
+ RowBox[{"7", "/", "4"}]],
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]f", "[",
+ RowBox[{"A", ",", "B", ",", "h0", ",", "\[Theta]c", ",",
+ RowBox[{"ff", "[",
+ RowBox[{"\[Theta]c", ",", "a", ",", "Nf"}], "]"}], ",",
+ RowBox[{"YY", "[",
+ RowBox[{"b", ",", "NY"}], "]"}]}], "]"}], "[",
+ RowBox[{"#", "[",
+ RowBox[{"[", "2", "]"}], "]"}], "]"}]}], "/.", "sol5"}]}], "}"}],
+ "&"}], "/@", "func5"}], ",",
+ RowBox[{"Joined", "\[Rule]", "True"}], ",",
+ RowBox[{"PlotStyle", "\[Rule]", "Green"}]}], "]"}], ",",
+ RowBox[{"LogLogPlot", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"\[ScriptCapitalY]", "[",
+ RowBox[{
+ RowBox[{
+ RowBox[{"-", "\[Pi]"}], " ",
+ RowBox[{
+ RowBox[{"Gl", "[", "2", "]"}], "/",
+ RowBox[{"(",
+ SuperscriptBox["B", "2"], ")"}]}]}], ",", "B"}], "]"}], "[", "X",
+ "]"}], ",",
+ RowBox[{"{",
+ RowBox[{"X", ",", "0.001", ",", "1000"}], "}"}], ",",
+ RowBox[{"PlotStyle", "\[Rule]", "Red"}]}], "]"}]}], "]"}]], "Input",
+ CellChangeTimes->{{3.7142246940999937`*^9, 3.714224710004931*^9}, {
+ 3.714224744516198*^9, 3.714224747961575*^9}, {3.714228221815777*^9,
+ 3.714228223946136*^9}, {3.7142301107700567`*^9, 3.714230113937529*^9}, {
+ 3.714231178048731*^9, 3.714231307094479*^9}, {3.71423525344033*^9,
+ 3.714235256372561*^9}, {3.714235546552792*^9, 3.714235548823525*^9}, {
+ 3.7142371795421753`*^9, 3.714237179715836*^9}, {3.714237270963962*^9,
+ 3.714237271437516*^9}, {3.7142391347674723`*^9, 3.714239137562055*^9}, {
+ 3.714251412671596*^9, 3.714251415896019*^9}, {3.7148292621137*^9,
+ 3.714829262329344*^9}},ExpressionUUID->"337e406c-fd78-431f-ada0-\
+8e3d6f16ba5c"],
+
+Cell[BoxData[
+ GraphicsBox[{{{}, {{},
+ {RGBColor[0.368417, 0.506779, 0.709798], PointSize[
+ 0.0055000000000000005`], AbsoluteThickness[1.6],
+ PointBox[CompressedData["
+1:eJwVl3k8FW8bxq3Hdubsx7GTrUiorIkZ0mJNJLRIKipUWixtRKEUUZbKD6Gy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+
+ "]]}, {}}, {}, {}, {{}, {}}}, {{}, {{}, {},
+ {RGBColor[0, 1, 0], PointSize[0.0055000000000000005`], AbsoluteThickness[
+ 1.6], LineBox[CompressedData["
+1:eJwVV3k4Vl8XNc/vPHrNU8ZkLqJ70iAppfqRRJSUolSUiiKlQqYGGUuEiIhI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+ "]]}}, {}, {}, {{}, {}}}, {{{}, {},
+ TagBox[
+ {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.],
+ LineBox[CompressedData["
+1:eJwt2Xk4VO8XAHD7PjN2M3NlmUqlkJAtTBRSKRSyZckaIVuKVLZIRSmhxRZR
+UZSKuEe0UaEvhYTQhlJRyfo78zy/v+b5PPfOuXPPPe95z31G2TPY1puHi4vr
+PDcXF+dzcmlRUmo+Af3DmudlHSlQvEXxidYlAh7xzetT0I5hOfzvLxCQbG/B
+JYUWyZHZmJRJgOUjpZt0dB2kJ2ik4/Gr2Wdp6JDPok1dJwh44M2nK4NmUZN5
+jyUT4HL+azMT3aHNY7YynoDw0BN/JNBJzrHHOg4T4DTIFy+H1js21RB7kIAf
+i2kz8ujRa2HcyyIJ+K/L31safbn1O7stlIAx/qcdDPT2P/5HooMIGJwxWKOI
+5ln0kWT5E1BwJ22Acz93zNwXWvYQQCan6nHi+QS8M45wx/P3D8YqoxkZ9ocV
+XAgYUg3x4cR7ca+97qkDASKFgQUK6MN9W+ZC7AgolvZqXYxezf9sHXMbOjR+
+dDl6cKVZTKMVAWINRYs48TJt62sDzQn43OOspYK2iNafkTElICuQW2clevrK
+HQPSiADvl5L5nHg3nmgc9NMnIM83+zInntu3sgcSOgRwlaukqaHFpVX+1awm
+IFvNTYcT75FBvt6eVQT8Y0st4sSL8JA/QFlOQN215J8a6OXHs+5VLyagb3ys
+nROvp1zy725FAlqOiRRy4qV1nlwrTBCQOKu8Zw3aeFYoslKWgJsfz8Ry4v1g
+Jdx1lsTnO6djsBpdsGlhko9KgP3SjZ+10TtCDmqXCxPAMCXXqqMFs36HOfAT
+4NOk+o4Tr6YupIqLm4C9m0+G6qIDh0d/lc4ywUWr+Q8nnoKo7xq7KSbcG85P
+1UG3aQ6Gzk4wIfOaA80AfczR9fbVcSZcIpqeaqG147p+WI8y4Vczy1IP/emq
+3eqpT0wgJ0Rr16GzX7wKzh9kwstr8wVr0VsmNlVY9TGhjtlEMUTPMx5/n+hm
+gvah6kAT9C02W/1SJxPUN+ak6KM9fWuDzNuZsJSb/tEInfw6yLvnBBMEHvo1
+b+fkr6t6rUoyE9pPUQa2oFf1LwjuP8aEuWs6YMP5/aMZZcLRTGidoDbbcur9
+V8+hneFMeJJkm+7AeT5Ti7fmBzPBelXiRzv0s/lAhW8BTJAIu3TZEc3krx7X
+82HCzQf/JHdy8iW6AAkeTEjUNWzchSYlLM+0uTDh+pmTnm5oSXqGl7wjE1Tv
+C/xxQu9R6NH2s2PCW/vek7vR1UsWC9yxZkL4pKaxC1p4ZeDbhU1MYDvsanFH
+l+vOR2exMf9KbgxPNJexxeYhQybcylLI8EHbbUiX19BlwjVL6YNenH5g1f3t
+4BomKFU+/uiLntrOIp+oMSGla+PoHrSVw950yRVMmN/+eLs/+pLrHQ+3JUwI
+2CNUEsSpJ6+5NWWKTDggXfosAG0aYM73h8mECCV5ejBnvYSc7lwvy4SLdl07
+AtGfI7uKT0owwdWiISeEU6/xAZuWCjEha+dW9f3ovpQqZigvE6KWuXtGojXT
+Z0cfzjOg18/0dRg64fzGOqFpBnTBkbko9JuLp07t+M2Ac7K/kyI466Pw7e68
+HwzYdYp+Ixp9qFRJc2yUAVftjZti0a8q/Hn0PjOgeDTf9xBaqbryv/hBBrh7
+BxyMQ+9/OFPU+p4BVb5CupzzHz/aEEl0M+DDm+D1R9EBrW/oVa0MIK9U0uPR
+DzsVR+abGfBbVFcqGU3r9au1esKAA09G5hM49Td4O+18AwNqgvl/Hef0qy/T
+roMPGfBV6szvJLTAuJmG+n0GKA1UD6eid/1O4zpYxQBDIfLpKU7/mOlsf1zO
+AG8r1lAap965FQslyhjQlu78KB291Lfi3is3BqTPS5WWoCv903YGODEgKez1
+1zI0O9B/gt+eAX7N/ttK0S/3mWfk2zBgYlXpqRtop9DFGkZbGfCYv/9pBfpL
+GNfLLksGKLyr31jOqe/I9wHhGxjgZHMu9jan/0bXCImzGVBvnkK7hU4/lFV8
+3ZABA64qG6s4/e903LnjdAbM/FZyIzn5vr8tzPQ1HWrZxGgP2rl2lUTfSzos
+HtmxqJdzvTrhiujndFhot7d7j46ET1tkHtPBOJ3hNoDmbWwcuQV0aP5BufCB
+s3+81PVUrKBDQ3K/zxdO//qgaDN7gg6MDecC/qCjRH6oV2+kg379g6Myu3C9
+LCi8811Phy8PT52QRf+Z3JrMMKKDg2zlAh2tMxKj1aJHhxXtHuEEOrz/en+M
+Nh3uZA6lyKOrOnpOqK+mA7SIciuifz0X1htYSYdKNWtFJbQmqTecsYwOKp4e
+2Sx0yB3fdLPFdDjyxFpqKTrArf9nGxcdAreHrNJBu1W+ujNaKwcW77NEHNCX
+SubcL92TA2qZaLojuvfiKsq2Kjmwy5pZ6Yx2Tk71riyTA3ZqruNutKOLuWx0
+thxM/5Hs9kPbCtRHCUTJQa93rtkh9EanmwbKa+Tg394p7gL0Eo2yAxFqcuAR
+oLS7CM3HV1L9fLkcqOtuh2L0o5t5a/YrykFKhNzuG2g2d6Zqo5gchLnlkPfQ
+664dZHp/loWPlobSreg1fyymr12UhdkdBukLaMmWDXpzWbLQGnIglMeJAj+v
+rI+0OSsLjyRpjvzoW5sMf/1LkYUV8ftfiKLVLqmPWEXJQiboDNDRyzfI9IzZ
+yMLwQnu1FlrhzOCD1YKyoGQ3dXYvWs8rrKmDB79vmDe5D22rzdd6YF4GbqqZ
+7tqPTn6zdBh+y4CTzwO1aPQPpj/VZlgG3oetW5eMbigc9wxtkAFVTXhbiN5z
+d1a08pAM7PYZ6O1HH046KWsfJQNC0p/rhtAXHBSUp/fLwIq4NYWf0S//maw1
+DZCBOA3L4nH0WuN499eOMqBldPj4AlroqfDdXzoy8HtpvZWSMwWud8m6af2Q
+hkUBdwy80T4pEdPRo9LwZqf4lD9ayaDjPHyShjvPot7vQ2fmZrRufS8NgZuG
+sg6gD7uJrfdrlgZNqythaWjbYe4ll4qkYTyOanEXPTU+9lVglzSE7NybLuqC
+6z1vc9LWHdIglPxdUwIdZFPGytwmDdG+tSCLHqz0dVY2l4a1f68vYaFbIgZf
+GGpJg6S6xBJ99OWZtxUhFGm459G/1w+9QbAxoqdBCqKeTpu/QN8qC1PSrZOC
+B69sb79Gy1svaTl7XwpubNAW70b/zkxU2lohBSLlQ38+ootZli3kRSmQ/Ceq
+wOWK+5PxS6WrUVIgnSfWrIN+Ff62JVgNj5+rXV6CNqAfj3yxXApsS8OTytHF
+tXrKK5ZIQfaDm2N30XE8OZGDTDzudP11E3p1uovyTiEpEC6rXxhEn73+IdJg
+SBIsl10UUnbD9TE4qsyfLQmlhXlzheiFo6uzb2dKgup8/Ph1dLFyhLhbuiQo
+XygcrkJPus/PVydLwsiOz98a0Rn94r1+kZKgPr3Zaxjd3Ktz/oWdJDzN4v6r
+shvXw9s4kUwqnp9YIFyFHopsOsoWkQT+50kKD9GpssL/xvgloezcEv3H6K6d
+Zz5vnJOALUEfTrxFR3QUNf0dlYDxxmW3ZtHl7c8POz+XgKcxm99YumN9vJCa
+YCVIwOlPV4S+on03q8yGxknAp/4lVpPo8mY9/oaDEkBJrj63gDZ67iq3O1QC
++ARTHGQ9sJ8/KTHMdZOArdusD21AnwPDBCl9CWDKldoVokXuesnwfRcHq4Xd
+ZXs9KWCjHalg91Uc9+Wx6wfQF6qOLysYFgdr8fH6RPSyypv67HfisHPBXTwP
+vaHir2vMM3HwPKoX2ImOKz1RPFkgDtXRTgwzL8znpSrdjw7ikKzDBap7sJ8z
+nCgJduJgItTWrIeeyuQaYm0Th9NB/cPm6NkTW0+5m4vDqjhuCy80/8HPw++0
+xeGNRd/ji2hZe+LMawlxuJny9p6kN/ZXeYHuuCwaHIy6MSbig/1ayi6h7hQN
+NJ/EchHoAyJ5GjOJNEjx81JZiT46pZ8UGU6DRnrE1c3oMx1BWkE2NEi7PRd1
+En0HB2YnURqkswLjpH0p8G+2yFQnjgozm0wKVvvh/t19TuRKJBUklM1vmKKb
+7ya9FtpHhf0Hfj7fgc7Z5+fZ60IFpRs8JtFog4GVx+INqCCg2ybRiD7UWNnQ
+/hvXieHefU7+FJhLAfa+QArsvMs+dyEA+4PPbaEuzJuMuumqm+hXpgVt63Gd
+27iHdzcEcNZnvLuMFe4ztbkRo2jjIIsjD5dRQL1Z8Z7JXsz/9lekyJAYbORV
+jxhDc8v1GpfsEoPUz97xDkEUKKv0U7XfLgZ6tbk8oWhb698y/BZiYDNLvZKK
+LkikfvPUFoPRlsjV9egNk+xcBZoYkHvj7qnso8Dx9qt/zzWJ4lwZHDGHFk8L
+vpWgIQp7jLYXPgqhwP1ls7laKqLw6OlDsQG0R+Px5EF5Uejna86aQ1dO57ux
+RUThQ+hGKf1QfL/w7xCbHRaB8eW2RbfRF8z1/cNyROBpcdn20v1Y/9y8LE9+
+EfBbOf6lKhzv99y94luzwrC7JCTtP7TLisCVCxPCcF/7hs0E+oJNp/bFQWGQ
+sY5crxVBAYmCEos3pDA4OM3X3EHzmG0JsjooDCFLj2nXR1JgOOHc/TXjQuDT
+O2w6dgCPM6yMjn4SApVbIR7i0Xj9m/MNre+FwOX2jmJttEunb0vgCyGYEU0o
+jEV3LjXoKy4VApt8kRCJgxR48qSPl9gjBEelAiLYhyhQIrR8G2+3ICy8b7Or
+i8X5zuXA3/OtgqAW38Qzgt5T8eyK6hNBqK4aGZY9jNez9/9hUyUIPy2PmoWi
+cwrLMvJOCoJ4q2XI8jgKnDJW6zAyFQRTT0Zt3hFcT+Frdh24LgBMeviDmnh8
+3356jFusQAAUHKpMvqO1iP9Kr1wQAIeYCi5WAu6HDWHTTxIFoCW2TisVHUC7
+myvjLgCqeqHerok4j5Tp9lVKC0DRfi5NWjLODwPrvL7F8sOgjOzGglTc3xia
+y2+G80N6uMfJXnSzzdJvgXv5ofPyMVm5ExSwbqREjTnyQ5yB81ga2rG4L3VU
+ix9q2oXKD6Xh9QKPVH79ygdCwUZPvU/hvDjVyP1pJx9U6xdb7zuD9ah5/8nV
+LXzQvvB53W20TMCNE95mfHA6fGXEJDrnXabMx9V84L/rR9OhsxQorPdeMSzK
+BzYGIiMnM3G+SxC0GWzghaYJs3Q4T4F34pvz+tR4gXIvKdUjF+cTU995o6W8
+MPJ6i0op2ios3uWSPC90pvmI/kTXdNbSXUR5ofb67cqjF/F6Oasyur/wwPWK
+6NjiS9hPl1CPdRTywPe9RmbzV/B9Ubfdq5nBA8uW38/6UoTx/b81rJDgAed8
+yzH9qxg/R1gpRYgH/rYknT2Brpld32vxlxucaJbvNIoxfkPljscd3LDmWLpj
+TAnG35y5EU5zQ+7pK9uXllGg281hWTU/N4y8y4x6UIH52UYrdZrlgpnDj84s
+uoX5N3mquvCLC6gzQcQxdI2SrrrlABdcezUbueU2BS4Oyur01HCBZpOp16dK
+fL4+b0zng7mgqH4iTKMa6/Vd2cO0OwtkVCxRzKjD/D1kQmf+AhnK67w9DV1y
+KbVR4fQCaQdNkQvo47sDmm/5L5BSXIIGn+spsHloRVeHwgKZd/9ryUPA9+OR
+axPyKfNkjs3Z03FNmP9/xao3XedIBnyT9X+B8Vt83HW2zJEtrm0xQ+gzl1TO
+1xnMkVJzCy5uLzFf64u5X8nNkdqevKd2vsL7OX6163v7LLl5+k39pjbMl1xR
+0mrzWXKnc079pg6sL538wSr1GTIjMdDtei8FtAU96OsWzZD1036jOu+xP3Ur
+WTeJzpCGc1rcDehnMXkPOr5Mk00E8byrjwK6TVfSfxdMkx9qE99SP+D+YnfZ
+WFdumqRMZDud+4jrKSQ3p2ZhiiSc//nRxnHeaDgj93JsiswKUlF4gD4pkZrZ
+3z1FfiaPJ3n9oIB55YFTfHemyEmfE2E1PynwYGLnMWu/KVI6dKItZBL7d6R4
+wGDbXzJxC12da5oCfjGJ+iIFf8i5NXSNcn4qNL2IqZY//Yc8H9KSFSxABeVF
+4VoaMX/IieqDzzUFqdBT56m2w/4P6WZbmHpfiApbF0yUL4v8IW+l0C60ilKx
+jqaF1oT9JiOe2RxZIon7VnJw164Nk2Rv6JOifYq4LxWWn2rSnCT7H2ZlmCtR
+YUP9tw0aipNkqNEze0VlKqRN7r3NNz1B/ie8Iug/FhUUPPxSKyomyIt09b6N
+KlRgG3is4ycmSO3hwvAdalRIGrPNu/XzJ3l47nz+onVUYBUEtlGNx8myKsXs
+VNwH19ek5nsS4yQrsOrfCVcquL++tr966jsZmamw/pQbFa7wfJR2q/pOzmUx
+ks+5U0Hey3XXzeXfSd4zvDwVe6ggs8R6cLPUN/K3i68FNYgKQsUak8e/jJAx
+a4IeHDtMhe+lv+R4z34ic2n8i/2LqEAl46L2Bn0ij7yKOd50lQoaHWJv/7P4
+RLo2+q1WLqFCyLzK+aLZj6TjOrXNfaVUmLB1ljb3+UjqS3k89q2gwtRMo3iK
+/jCpkFybeb+GCtzW54RpAx9I3b+5XxxeU2HFcPAfHacecnnvY27gpwH99fPD
+vNI9ZD5t+xp7QRoIwGLB9pfd5PP95uXfhGgwmPtWLnB9N/nx3d4TSmI0yLEz
+0S9Y3kU6PjQbPydJA7FGagz1byf5RteeBxRp8CP/Jvfns+3kRckNMbKGNAgi
+3zBW5zaQ9RPu+b8jaHD857PJNIkGMmjf+uqeKBoULK5t/ZoMZM6vPWsbomlw
+g2YiavG1nqyKVTp0JpYGcXltg2oXa0jtndQV5jgHLXk0kTHDXUleu2x0/Vcm
+DQZusgX7Kv3Jx/68H/bcpcH8g4srsl+CycJl7rn2aRr0nf0uPeLZYBLLjna1
+nKNBfRCby3CqwcSuPy4bFmhwWHn4TS+r0YR4UmjnzCMO88dXJigdeGzS6nQ0
+y0UQ7VDTV8JqNuFtaNychnPbwvTopne17SbBM3X/ClTQF4QXvoq/MzEqtpJo
+wLmwf7I0bcTok0m1ziP50UpxGO6lHV5XPm4y8ctQdkpVAhJXP/uoFz1p0vtq
+U/GJSgl4pps2ldQyZaJunDY9xZaE95dDjMwOzZl807khfn5MEjK8V0Vlf+Ni
+DzrnpmzB95CHrBKVVEletubnziFTe2loo60Z1/ThZ082t9QbqctATH990ESG
+INuiNsLkLa8sSPQ78weOCbNZRR/8mX9lwbhh2nZeQYwdoH7tvw9DchBulReV
+Yk5lZ4iXKL/8jw7N3Nd7vK3F2avuirTu72dAcWtSx1lvCXavycBamX4mRN0d
+cVqUKcmeb/57dNc/Al58b9+yuE6KPZSiR7yQXARBjBrG2tfS7KaSO5Ph8grA
+46IaVjQvw06cdPQyMFGEodO5JYpycmx4HuroslwJLOXSLeRU6ezpgdr2lRVK
+UFAtFh6wg8GOrGvwp5sqg6VF6qHmACab5/YHlfIWZWgsrz50NZjJZgmt3CPz
+ShmMZYcOHQlnslVnS67FtCmD1kfDmLWHmWyPHnmLzZ3KoBD/LaYgg8m2oMt0
+f+lThon67YcP3meyezODrZb+UobLa+WOqgoQ7LJt39UK6SxgXDY7yi9KsDMO
+vDYVJViQyR9ydIBGsNUDpl3DFrEgpePZ0fMMgt1Q/q/YjMWC8P0Hj/GoEewj
+qRPHhleyYFP5+/geO4KtWN/jssyEBU0yIgl3HQm2nkPj69PrWWAcuzYh3RW/
+Lx9vPWXGAu0tpxPMfQm22XyQw3NLFiiMsBMrDxLsiZ2G5XttWZBtE5R4Mo5g
+n3FZZtuxgwXSD7IT/RIIdudw9cw6BxYIH/+VqHCKYLuqlOymurAgYVwh6d8Z
+gh1nPsOIcmPBvP3mpI4sgj1+t7u7350F0fVRSRUXCXab3rorll4s+P//Kezh
+bPmA294s+B/eyQz8
+ "]]},
+ Annotation[#, "Charting`Private`Tag$120727#1"]& ]}, {}, {}}},
+ AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948],
+ Axes->{True, True},
+ AxesLabel->{None, None},
+ AxesOrigin->{-6.271123276191262, -9.801059382476273},
+ DisplayFunction->Identity,
+ Frame->{{False, False}, {False, False}},
+ FrameLabel->{{None, None}, {None, None}},
+ FrameTicks->{{
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledFrameTicks[{Log, Exp}]}, {
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledFrameTicks[{Log, Exp}]}},
+ GridLines->{None, None},
+ GridLinesStyle->Directive[
+ GrayLevel[0.5, 0.4]],
+ ImagePadding->All,
+ ImageSize->{585., Automatic},
+ Method->{"CoordinatesToolOptions" -> {"DisplayFunction" -> ({
+ (Part[{{Log, Exp}, {Log, Exp}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Log, Exp}, {Log, Exp}}, 2, 2][#]& )[
+ Part[#, 2]]}& ), "CopiedValueFunction" -> ({
+ (Part[{{Log, Exp}, {Log, Exp}}, 1, 2][#]& )[
+ Part[#, 1]],
+ (Part[{{Log, Exp}, {Log, Exp}}, 2, 2][#]& )[
+ Part[#, 2]]}& )}},
+ PlotRange->{{-6.066242635851156,
+ 7.046118345915609}, {-9.701519868618796, -3.530070009455368}},
+ PlotRangeClipping->True,
+ PlotRangePadding->{{
+ Scaled[0.02],
+ Scaled[0.02]}, {
+ Scaled[0.02],
+ Scaled[0.05]}},
+ Ticks->FrontEndValueCache[{
+ Charting`ScaledTicks[{Log, Exp}],
+ Charting`ScaledTicks[{Log, Exp}]}, {{{-4.605170185988091,
+ FormBox["0.01`", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.3025850929940455`,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.10\"", 0.1, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 2.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {0.,
+ FormBox["1", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.302585092994046,
+ FormBox["10", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.605170185988092,
+ FormBox["100", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.907755278982137,
+ FormBox["1000", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.907755278982137,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.809142990314028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.521460917862246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.298317366548036,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.115995809754082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.961845129926823,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.8283137373023015`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.710530701645918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.506557897319982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.2188758248682006`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.8134107167600364`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.659260036932778,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.5257286443082556`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.4079456086518722`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.2039728043259361`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.916290731874155,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.5108256237659907,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.35667494393873245`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.2231435513142097,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-0.10536051565782628`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {0.6931471805599453,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.0986122886681098`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.3862943611198906`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.791759469228055,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {1.9459101490553132`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.0794415416798357`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.1972245773362196`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {3.4011973816621555`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {3.6888794541139363`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.0943445622221,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.248495242049359,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.382026634673881,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {4.499809670330265,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {5.298317366548036,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {5.703782474656201,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {5.991464547107982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.396929655216146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.551080335043404,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.684611727667927,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {6.802394763324311,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {7.600902459542082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.006367567650246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.294049640102028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.517193191416238,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.699514748210191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.85366542803745,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {8.987196820661973,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.104979856318357,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.210340371976184,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.305650551780507,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.392661928770137,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.472704636443673,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.546812608597396,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.615805480084347,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {9.680344001221918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}}, {{-9.210340371976182,
+ FormBox[
+ TemplateBox[{"\[Times]", "\"\[Times]\"", "1.`",
+ TemplateBox[{"10",
+ RowBox[{"-", "4"}]}, "Superscript", SyntaxForm ->
+ SuperscriptBox]}, "RowWithSeparators"], TraditionalForm], {0.01,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.600902459542082,
+ FormBox[
+ TemplateBox[{"\[Times]", "\"\[Times]\"", "5.`",
+ TemplateBox[{"10",
+ RowBox[{"-", "4"}]}, "Superscript", SyntaxForm ->
+ SuperscriptBox]}, "RowWithSeparators"], TraditionalForm], {0.01,
+ 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.907755278982137,
+ FormBox["0.001`", TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.298317366548036,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.005\"", 0.005, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.605170185988091,
+ FormBox[
+ TagBox[
+ InterpretationBox["\"0.010\"", 0.01, AutoDelete -> True],
+ NumberForm[#, {
+ DirectedInfinity[1], 3.}]& ], TraditionalForm], {0.01, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.903487552536127,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.721165995742174,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.567015315914915,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.433483923290392,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-9.315700887634009,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-8.517193191416238,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-8.111728083308073,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.824046010856292,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.418580902748128,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.264430222920869,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.1308988302963465`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-7.013115794639964,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-6.214608098422191,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.809142990314028,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.521460917862246,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-5.115995809754082,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.961845129926823,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.8283137373023015`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-4.710530701645918,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.912023005428146,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.506557897319982,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-3.2188758248682006`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.995732273553991,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.8134107167600364`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.659260036932778,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.5257286443082556`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.4079456086518722`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-2.3025850929940455`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.8971199848858813`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.6094379124341003`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}, {-1.3862943611198906`,
+ FormBox[
+ InterpretationBox[
+ StyleBox[
+
+ GraphicsBox[{}, ImageSize -> {0., 0.}, BaselinePosition ->
+ Baseline], "CacheGraphics" -> False],
+ Spacer[{0., 0.}]], TraditionalForm], {0.005, 0.}, {
+ AbsoluteThickness[0.1]}}}}]]], "Output",
+ CellChangeTimes->{{3.714231218528014*^9, 3.714231255059382*^9}, {
+ 3.7142313035157547`*^9, 3.714231308612544*^9}, 3.714231351814006*^9,
+ 3.714235201839225*^9, 3.714235263324795*^9, 3.7142355579614763`*^9,
+ 3.7142361528419724`*^9, 3.714236603635973*^9, 3.71423707986604*^9,
+ 3.714237192861849*^9, 3.714237278108419*^9, 3.714239147859034*^9,
+ 3.7142397145181503`*^9, 3.714244839156539*^9, 3.7142513751048594`*^9,
+ 3.714251427275505*^9},ImageCache->GraphicsData["CompressedBitmap", "\<\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=
+\
+\>"]],
+
+Cell[BoxData["$Aborted"], "Output",
+ CellChangeTimes->{
+ 3.7148438297432327`*^9},ExpressionUUID->"441216d9-10ca-4138-8d15-\
+6b5bd78d2681"]
+}, Open ]]
+},
+WindowSize->{932, 1044},
+WindowMargins->{{18, Automatic}, {Automatic, 18}},
+FrontEndVersion->"11.1 for Linux x86 (64-bit) (March 13, 2017)",
+StyleDefinitions->"Default.nb"
+]
+(* End of Notebook Content *)
+
+(* Internal cache information *)
+(*CellTagsOutline
+CellTagsIndex->{}
+*)
+(*CellTagsIndex
+CellTagsIndex->{}
+*)
+(*NotebookFileOutline
+Notebook[{
+Cell[560, 20, 1385, 38, 170, "Input", "ExpressionUUID" -> \
+"e6bac00b-67c3-4bac-913c-1c6897ee0a6e"],
+Cell[1948, 60, 2998, 82, 149, "Input", "ExpressionUUID" -> \
+"9c43836c-5224-4a7f-b325-f696ee8f0277"],
+Cell[4949, 144, 2200, 53, 177, "Input", "ExpressionUUID" -> \
+"45775c0c-7c10-488f-a001-49135ad61e03"],
+Cell[7152, 199, 1113, 29, 103, "Input", "ExpressionUUID" -> \
+"0ed872c5-6e9e-45b4-ad9c-dc67f0919064"],
+Cell[8268, 230, 2330, 67, 132, "Input", "ExpressionUUID" -> \
+"e5fe4a94-4de5-4e0b-bd35-c8af6a1467d4"],
+Cell[10601, 299, 2179, 59, 125, "Input", "ExpressionUUID" -> \
+"7570c839-807a-4012-9e23-8ff338ddd7c7"],
+Cell[12783, 360, 2365, 67, 128, "Input", "ExpressionUUID" -> \
+"57914562-b259-4505-b41e-931dc00deb9d"],
+Cell[15151, 429, 4373, 104, 196, "Input", "ExpressionUUID" -> \
+"496eca64-4a2e-4f3c-b144-ea4b47c003ae"],
+Cell[19527, 535, 1970, 50, 88, "Input", "ExpressionUUID" -> \
+"4907121b-0e75-4af6-bb69-7dbbfe7dd69e"],
+Cell[21500, 587, 908, 28, 65, "Input", "ExpressionUUID" -> \
+"20f19d40-8f71-46f8-a318-a0585168eb29"],
+Cell[22411, 617, 2791, 68, 110, "Input", "ExpressionUUID" -> \
+"f62be0c7-6e67-48ef-9e37-a9f76da76902"],
+Cell[25205, 687, 3995, 107, 241, "Input", "ExpressionUUID" -> \
+"2c0de244-906c-474b-a692-bd5fe702a1b0"],
+Cell[29203, 796, 473, 9, 34, "Input", "ExpressionUUID" -> \
+"87e7f061-312b-4959-ac46-377a2821fed8"],
+Cell[29679, 807, 1255, 38, 80, "Input", "ExpressionUUID" -> \
+"2d580de8-c541-48c5-9a4e-6c4407a77308"],
+Cell[CellGroupData[{
+Cell[30959, 849, 9074, 167, 125, "Input", "ExpressionUUID" -> \
+"bab4ccdf-6e89-4a30-9470-53c4cf8bc661"],
+Cell[40036, 1018, 1885, 31, 100, "Message", "ExpressionUUID" -> \
+"896e2005-8c75-475c-bb89-4147ee4bae6c"],
+Cell[41924, 1051, 2846, 72, 132, "Output", "ExpressionUUID" -> \
+"d8d72fc4-921f-4a44-a03a-62c11e1bcb12"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[44807, 1128, 3629, 79, 80, "Input", "ExpressionUUID" -> \
+"5d18036a-1593-48ad-9b4f-438b8c213ae3"],
+Cell[48439, 1209, 19350, 325, 240, "Output", "ExpressionUUID" -> \
+"0a760a5b-f99a-4333-a99e-702cc975c3f1"]
+}, Open ]],
+Cell[67804, 1537, 1211, 33, 108, "Input", "ExpressionUUID" -> \
+"f1956bd9-2ff8-4ff2-8b1f-1105cefe3d5c"],
+Cell[69018, 1572, 1426, 39, 86, "Input", "ExpressionUUID" -> \
+"a9345cfd-e9af-443d-8343-98dcfbc98e00"],
+Cell[CellGroupData[{
+Cell[70469, 1615, 1230, 33, 37, "Input", "ExpressionUUID" -> \
+"71c61167-070c-49a4-8279-f285a7e2b598"],
+Cell[71702, 1650, 49725, 835, 228, "Output", "ExpressionUUID" -> \
+"f7afc1a4-5238-4a50-ab2c-5c276f9869fb"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[121464, 2490, 6841, 138, 125, "Input", "ExpressionUUID" -> \
+"9bf870db-226c-48c2-a135-05ec91992349"],
+Cell[128308, 2630, 622, 12, 59, "Message", "ExpressionUUID" -> \
+"714519e2-0b9e-4fb1-8d9f-c411f4eb08ee"],
+Cell[128933, 2644, 2992, 68, 80, "Output", "ExpressionUUID" -> \
+"de15e9f1-6969-447d-b8f3-4c36a116a8e4"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[131962, 2717, 3729, 80, 80, "Input", "ExpressionUUID" -> \
+"5d18036a-1593-48ad-9b4f-438b8c213ae3"],
+Cell[135694, 2799, 20462, 343, 239, "Output", "ExpressionUUID" -> \
+"a2e9d1d0-a04e-4e28-8b6d-93a2522bbee0"]
+}, Open ]],
+Cell[156171, 3145, 1257, 33, 108, "Input", "ExpressionUUID" -> \
+"5f0a8cc9-1cdf-4dba-a653-476a7d1616a5"],
+Cell[157431, 3180, 1475, 40, 86, "Input", "ExpressionUUID" -> \
+"daf7ab4e-2aaa-4862-b6f0-3736a8f206ac"],
+Cell[CellGroupData[{
+Cell[158931, 3224, 1280, 33, 37, "Input", "ExpressionUUID" -> \
+"88fb631f-f3f0-4b5a-b15e-c9c6dfccaa82"],
+Cell[160214, 3259, 149567, 2472, 228, "Output", "ExpressionUUID" -> \
+"239e7b39-8715-4b95-ba92-8423a1ad1109"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[309818, 5736, 8297, 168, 102, "Input", "ExpressionUUID" -> \
+"9bf870db-226c-48c2-a135-05ec91992349"],
+Cell[318118, 5906, 2303, 58, 57, "Output", "ExpressionUUID" -> \
+"419b85e2-e1d2-48e9-a40d-719b1d027a19"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[320458, 5969, 3679, 80, 34, "Input", "ExpressionUUID" -> \
+"5d18036a-1593-48ad-9b4f-438b8c213ae3"],
+Cell[324140, 6051, 18944, 319, 237, "Output", "ExpressionUUID" -> \
+"cef88147-e1b5-4338-92da-691b2f2baf93"]
+}, Open ]],
+Cell[343099, 6373, 1306, 34, 37, "Input", "ExpressionUUID" -> \
+"47bcc12d-608f-48f4-9309-22c415e2c866"],
+Cell[344408, 6409, 1521, 40, 37, "Input", "ExpressionUUID" -> \
+"fbb8556e-e691-4799-9f94-377e7a2375a0"],
+Cell[CellGroupData[{
+Cell[345954, 6453, 1280, 33, 37, "Input", "ExpressionUUID" -> \
+"f7e63802-baeb-44ed-8a8a-98dfdd52b0d3"],
+Cell[347237, 6488, 193150, 3186, 228, "Output", "ExpressionUUID" -> \
+"d1aa920a-411c-4905-b1eb-6ddcb93c7314"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[540424, 9679, 8176, 174, 102, "Input", "ExpressionUUID" -> \
+"9bf870db-226c-48c2-a135-05ec91992349"],
+Cell[548603, 9855, 360, 9, 23, "Message", "ExpressionUUID" -> \
+"c72527e2-3118-4a1c-9885-1b6d5a9e5967"],
+Cell[548966, 9866, 4077, 90, 80, "Output", "ExpressionUUID" -> \
+"3da6d1e6-c65f-4beb-94f8-6ae8be1c34ce"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[553080, 9961, 3677, 80, 34, "Input", "ExpressionUUID" -> \
+"5d18036a-1593-48ad-9b4f-438b8c213ae3"],
+Cell[556760, 10043, 22892, 383, 239, "Output", "ExpressionUUID" -> \
+"d29bb33a-9285-4788-b68a-cf20a7a48d52"]
+}, Open ]],
+Cell[579667, 10429, 1355, 35, 37, "Input", "ExpressionUUID" -> \
+"ceca6792-4c95-40af-b323-8df0ec39405e"],
+Cell[581025, 10466, 1575, 41, 37, "Input", "ExpressionUUID" -> \
+"be68e52c-4312-46c3-8922-592d897d46b9"],
+Cell[CellGroupData[{
+Cell[582625, 10511, 1280, 33, 37, "Input", "ExpressionUUID" -> \
+"550d33b5-daa3-4baf-92aa-c15ded8a2e99"],
+Cell[583908, 10546, 99179, 1646, 228, "Output", "ExpressionUUID" -> \
+"8c67ba7b-d985-46e5-a7d6-dff2a9340ba3"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[683124, 12197, 7687, 162, 102, "Input", "ExpressionUUID" -> \
+"9bf870db-226c-48c2-a135-05ec91992349"],
+Cell[690814, 12361, 1534, 24, 23, "Message", "ExpressionUUID" -> \
+"71057e44-3e0a-4b79-ac64-4a9f862ec019"],
+Cell[692351, 12387, 2715, 63, 57, "Output", "ExpressionUUID" -> \
+"5734ebde-1202-497c-9138-a75d194bfef1"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[695103, 12455, 3775, 80, 57, "Input", "ExpressionUUID" -> \
+"5d18036a-1593-48ad-9b4f-438b8c213ae3"],
+Cell[698881, 12537, 18653, 309, 239, "Output", "ExpressionUUID" -> \
+"ed89f101-ab66-4076-8913-f03c34eda116"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[717571, 12851, 8121, 172, 102, "Input", "ExpressionUUID" -> \
+"9bf870db-226c-48c2-a135-05ec91992349"],
+Cell[725695, 13025, 2667, 61, 57, "Output", "ExpressionUUID" -> \
+"0f33f538-2cfa-4340-ac6f-9cd999d32dae"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[728399, 13091, 3825, 81, 57, "Input", "ExpressionUUID" -> \
+"5d18036a-1593-48ad-9b4f-438b8c213ae3"],
+Cell[732227, 13174, 17807, 296, 239, "Output", "ExpressionUUID" -> \
+"ed89f101-ab66-4076-8913-f03c34eda116"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[750071, 13475, 574, 15, 34, "Input", "ExpressionUUID" -> \
+"cfa51037-b370-4790-b290-4a8188d33c12"],
+Cell[750648, 13492, 6870, 131, 231, "Output", "ExpressionUUID" -> \
+"0bc3ade1-3661-4260-b494-2af29419b10c"]
+}, Open ]],
+Cell[757533, 13626, 1259, 33, 37, "Input", "ExpressionUUID" -> \
+"e4d23d9f-2f0d-4b59-b3b1-2ef3bed0248b"],
+Cell[758795, 13661, 1418, 38, 37, "Input", "ExpressionUUID" -> \
+"b7d78db0-7812-4aff-b1c2-716a068eae4d"],
+Cell[CellGroupData[{
+Cell[760238, 13703, 318, 6, 32, "Input", "ExpressionUUID" -> \
+"3be7b930-9672-473b-90b3-afb8f63bbf92"],
+Cell[760559, 13711, 257065, 4230, 398, "Output", "ExpressionUUID" -> \
+"cbd98815-2745-4c58-9dc4-60d81ba7b307"]
+}, Open ]],
+Cell[1017639, 17944, 1468, 44, 34, "Input", "ExpressionUUID" -> \
+"d3e9d1eb-1471-47fc-887d-38adc1a1a5f3"],
+Cell[CellGroupData[{
+Cell[1019132, 17992, 605, 18, 34, "Input", "ExpressionUUID" -> \
+"b57a1a48-205a-4090-94ca-37f7dd7558de"],
+Cell[1019740, 18012, 246563, 4058, 252, "Output", "ExpressionUUID" -> \
+"138efb6f-939e-427a-8d4b-8cb6aab07fc7"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1266340, 22075, 1747, 36, 37, "Input", "ExpressionUUID" -> \
+"4e74b80b-977c-430d-ab65-c2516c21c4d2"],
+Cell[1268090, 22113, 146929, 2835, 226, 137953, 2688, "CachedBoxData", \
+"BoxData", "Output", "ExpressionUUID" -> \
+"296c598d-1cb6-4534-b798-11e3bd7059e4"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1415056, 24953, 8558, 180, 125, "Input", "ExpressionUUID" -> \
+"9bf870db-226c-48c2-a135-05ec91992349"],
+Cell[1423617, 25135, 547, 11, 40, "Message", "ExpressionUUID" -> \
+"f7376872-e62b-4b45-8bf5-f6dcec70d94b"],
+Cell[1424167, 25148, 3225, 71, 57, "Output", "ExpressionUUID" -> \
+"88b68d32-5d96-4e40-9c7e-9e14971c8a8e"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1427429, 25224, 3825, 81, 57, "Input", "ExpressionUUID" -> \
+"5d18036a-1593-48ad-9b4f-438b8c213ae3"],
+Cell[1431257, 25307, 17807, 296, 239, "Output", "ExpressionUUID" -> \
+"ed89f101-ab66-4076-8913-f03c34eda116"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1449101, 25608, 3147, 62, 34, "Input", "ExpressionUUID" -> \
+"5d18036a-1593-48ad-9b4f-438b8c213ae3"],
+Cell[1452251, 25672, 11977, 201, 239, "Output", "ExpressionUUID" -> \
+"ed89f101-ab66-4076-8913-f03c34eda116"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1464265, 25878, 3092, 62, 34, "Input", "ExpressionUUID" -> \
+"77191d54-4d6c-430f-89ad-4954156f8391"],
+Cell[1467360, 25942, 23019, 390, 242, "Output", "ExpressionUUID" -> \
+"d3d21337-de8a-489f-9f19-d9aabe0e30e6"]
+}, Open ]],
+Cell[1490394, 26335, 1490, 35, 37, "Input", "ExpressionUUID" -> \
+"2e78eaa4-cb34-44c3-849d-3080bc18abc2"],
+Cell[CellGroupData[{
+Cell[1491909, 26374, 2237, 56, 66, "Input", "ExpressionUUID" -> \
+"f27fb1c5-7730-4314-9770-da0a9286f08d"],
+Cell[1494149, 26432, 66734, 1447, 220, "Output", "ExpressionUUID" -> \
+"a27b006c-32ed-441d-bb0d-6011fd9e9f4a"]
+}, Open ]],
+Cell[1560898, 27882, 2813, 79, 39, "Input", "ExpressionUUID" -> \
+"d6b8c89a-a3b9-4e30-b7cd-5b974a88b162"],
+Cell[1563714, 27963, 987, 29, 34, "Input", "ExpressionUUID" -> \
+"7b49aa4d-971d-4f27-8504-e3da44463eae"],
+Cell[CellGroupData[{
+Cell[1564726, 27996, 896, 22, 34, "Input", "ExpressionUUID" -> \
+"18b3cc6d-6bb0-45ea-975f-42b781072e2d"],
+Cell[1565625, 28020, 21044, 357, 239, "Output", "ExpressionUUID" -> \
+"d156f278-5156-430a-9440-d05676d07976"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1586706, 28382, 549, 10, 32, "Input", "ExpressionUUID" -> \
+"da020005-5c91-4bb8-887c-1d4380b5f69e"],
+Cell[1587258, 28394, 1291, 22, 37, "Output", "ExpressionUUID" -> \
+"06e82a65-ddd7-45f4-be4d-0b3845acb813"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1588586, 28421, 1028, 27, 34, "Input", "ExpressionUUID" -> \
+"03dc4111-b9dd-47fc-9035-d84fc2a5c657"],
+Cell[1589617, 28450, 1138, 19, 34, "Output", "ExpressionUUID" -> \
+"6c6bf531-ba47-453b-a72f-454c251472d7"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1590792, 28474, 1446, 41, 37, "Input", "ExpressionUUID" -> \
+"c32f25a4-7a80-4c63-8b1a-2597dcbbcf5f"],
+Cell[1592241, 28517, 13004, 324, 234, "Output", "ExpressionUUID" -> \
+"4944905d-0606-4706-a4d9-9397790b4034"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1605282, 28846, 3713, 102, 79, "Input", "ExpressionUUID" -> \
+"1424ff20-6033-4ed3-b705-d151fae88968"],
+Cell[1608998, 28950, 2531, 60, 57, "Output", "ExpressionUUID" -> \
+"7d38b322-672b-4866-a13f-aa0e79f17236"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1611566, 29015, 656, 16, 34, "Input", "ExpressionUUID" -> \
+"1e22c783-e918-412d-aaf2-2c5ac92c2176"],
+Cell[1612225, 29033, 13445, 237, 235, "Output", "ExpressionUUID" -> \
+"58d556d0-a5c5-48a5-bfb0-721a371f278e"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1625707, 29275, 3381, 66, 34, "Input", "ExpressionUUID" -> \
+"0b11131a-311e-44d4-9df6-6d33baaffe0f"],
+Cell[1629091, 29343, 19438, 330, 241, "Output", "ExpressionUUID" -> \
+"f6e42918-3343-478b-8fab-98ac2391f3eb"]
+}, Open ]],
+Cell[1648544, 29676, 1545, 36, 37, "Input", "ExpressionUUID" -> \
+"a99c4b04-e2fc-47f6-b2d9-7e135d28c474"],
+Cell[CellGroupData[{
+Cell[1650114, 29716, 2386, 58, 66, "Input", "ExpressionUUID" -> \
+"4e74b80b-977c-430d-ab65-c2516c21c4d2"],
+Cell[1652503, 29776, 168726, 3124, 274, "Output", "ExpressionUUID" -> \
+"296c598d-1cb6-4534-b798-11e3bd7059e4"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1821266, 32905, 1192, 33, 39, "Input", "ExpressionUUID" -> \
+"b59b69ba-0e2e-490f-9cf8-9fa4a3dd9674"],
+Cell[1822461, 32940, 218, 4, 32, "Output", "ExpressionUUID" -> \
+"7b7cb8f3-7a1d-4391-9d54-ec37c1cc9f4b"]
+}, Open ]],
+Cell[1822694, 32947, 2865, 80, 39, "Input", "ExpressionUUID" -> \
+"d6b8c89a-a3b9-4e30-b7cd-5b974a88b162"],
+Cell[1825562, 33029, 987, 29, 34, "Input", "ExpressionUUID" -> \
+"7b49aa4d-971d-4f27-8504-e3da44463eae"],
+Cell[CellGroupData[{
+Cell[1826574, 33062, 896, 22, 34, "Input", "ExpressionUUID" -> \
+"18b3cc6d-6bb0-45ea-975f-42b781072e2d"],
+Cell[1827473, 33086, 20805, 352, 239, "Output", "ExpressionUUID" -> \
+"8cdf1a85-bf57-47da-9b1d-c568bbb10258"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1848315, 33443, 302, 7, 34, "Input", "ExpressionUUID" -> \
+"1f05087a-8576-4828-8699-12a75555f4bf"],
+Cell[1848620, 33452, 242, 4, 32, "Output", "ExpressionUUID" -> \
+"a424fb95-fed6-46e4-8e3e-38a0a5149959"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1848899, 33461, 199, 4, 32, "Input", "ExpressionUUID" -> \
+"3051cab4-2f8e-47d8-92b0-8859be022ef8"],
+Cell[1849101, 33467, 147, 3, 32, "Output", "ExpressionUUID" -> \
+"86bf6cb7-e563-4762-b664-9841289edeef"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1849285, 33475, 597, 10, 32, "Input", "ExpressionUUID" -> \
+"da020005-5c91-4bb8-887c-1d4380b5f69e"],
+Cell[1849885, 33487, 1262, 21, 37, "Output", "ExpressionUUID" -> \
+"7add4ce3-42a0-4d50-9549-ef06342db631"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1851184, 33513, 1077, 28, 34, "Input", "ExpressionUUID" -> \
+"03dc4111-b9dd-47fc-9035-d84fc2a5c657"],
+Cell[1852264, 33543, 1124, 20, 34, "Output", "ExpressionUUID" -> \
+"5f8d5cbf-2051-4478-a42a-9d2e6f21ae36"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1853425, 33568, 1444, 41, 37, "Input", "ExpressionUUID" -> \
+"c32f25a4-7a80-4c63-8b1a-2597dcbbcf5f"],
+Cell[1854872, 33611, 12984, 323, 234, "Output", "ExpressionUUID" -> \
+"913e84dd-5bda-4901-8cc7-e187be2fe95c"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1867893, 33939, 1471, 44, 37, "Input", "ExpressionUUID" -> \
+"1e1213f8-456f-4bfa-ab14-81421afa8af2"],
+Cell[1869367, 33985, 233, 4, 32, "Output", "ExpressionUUID" -> \
+"0a3ca91b-b806-4251-9eb8-b7fec8c9b792"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1869637, 33994, 3973, 108, 102, "Input", "ExpressionUUID" -> \
+"1424ff20-6033-4ed3-b705-d151fae88968"],
+Cell[1873613, 34104, 721, 13, 40, "Message", "ExpressionUUID" -> \
+"387fdf1e-86d1-4a47-8c1f-0ce1786c5a2c"],
+Cell[1874337, 34119, 2460, 59, 57, "Output", "ExpressionUUID" -> \
+"c23cd273-c018-4051-99e9-4e7e7ba532af"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1876834, 34183, 604, 14, 34, "Input", "ExpressionUUID" -> \
+"1e22c783-e918-412d-aaf2-2c5ac92c2176"],
+Cell[1877441, 34199, 11303, 198, 236, "Output", "ExpressionUUID" -> \
+"ba491595-f13f-4ebf-8f87-bce4f10cb6d5"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[1888781, 34402, 3379, 65, 34, "Input", "ExpressionUUID" -> \
+"0b11131a-311e-44d4-9df6-6d33baaffe0f"],
+Cell[1892163, 34469, 17004, 287, 239, "Output", "ExpressionUUID" -> \
+"97d1851a-3242-4f1c-97e1-e01f3c525efa"]
+}, Open ]],
+Cell[1909182, 34759, 1490, 34, 37, "Input", "ExpressionUUID" -> \
+"a99c4b04-e2fc-47f6-b2d9-7e135d28c474"],
+Cell[CellGroupData[{
+Cell[1910697, 34797, 2290, 56, 66, "Input", "ExpressionUUID" -> \
+"4e74b80b-977c-430d-ab65-c2516c21c4d2"],
+Cell[1912990, 34855, 63550, 1347, 220, "Output", "ExpressionUUID" -> \
+"3a7112a4-3f43-48e2-ba15-b6b3516025f4"]
+}, Open ]],
+Cell[1976555, 36205, 2864, 79, 39, "Input", "ExpressionUUID" -> \
+"d6b8c89a-a3b9-4e30-b7cd-5b974a88b162"],
+Cell[1979422, 36286, 986, 28, 34, "Input", "ExpressionUUID" -> \
+"7b49aa4d-971d-4f27-8504-e3da44463eae"],
+Cell[CellGroupData[{
+Cell[1980433, 36318, 895, 21, 34, "Input", "ExpressionUUID" -> \
+"18b3cc6d-6bb0-45ea-975f-42b781072e2d"],
+Cell[1981331, 36341, 19593, 330, 239, "Output", "ExpressionUUID" -> \
+"71a9fad2-143b-43ac-8649-5106684e09fa"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[2000961, 36676, 599, 10, 32, "Input", "ExpressionUUID" -> \
+"da020005-5c91-4bb8-887c-1d4380b5f69e"],
+Cell[2001563, 36688, 1066, 19, 37, "Output", "ExpressionUUID" -> \
+"717725d5-0856-46d3-817b-263603c648f6"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[2002666, 36712, 1076, 27, 34, "Input", "ExpressionUUID" -> \
+"03dc4111-b9dd-47fc-9035-d84fc2a5c657"],
+Cell[2003745, 36741, 1016, 16, 34, "Output", "ExpressionUUID" -> \
+"34fcb4d2-7596-4831-9eb3-4fbb87b916bd"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[2004798, 36762, 1444, 41, 37, "Input", "ExpressionUUID" -> \
+"c32f25a4-7a80-4c63-8b1a-2597dcbbcf5f"],
+Cell[2006245, 36805, 12942, 323, 234, "Output", "ExpressionUUID" -> \
+"61536490-a6eb-45a4-b4a1-fde12da007c4"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[2019224, 37133, 3398, 88, 57, "Input", "ExpressionUUID" -> \
+"f04e4a35-a6d1-49b2-80f5-1d47bf0a3a8c"],
+Cell[2022625, 37223, 2532, 60, 57, "Output", "ExpressionUUID" -> \
+"d4e0a3b8-540b-4799-bd2d-fd3769faf6ae"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[2025194, 37288, 3337, 65, 34, "Input", "ExpressionUUID" -> \
+"67e93b6d-ca85-46c3-804d-77285706e361"],
+Cell[2028534, 37355, 15255, 264, 244, "Output", "ExpressionUUID" -> \
+"fdcb284f-f0ff-4606-86e2-59cb14f49d1b"]
+}, Open ]],
+Cell[2043804, 37622, 1545, 36, 37, "Input", "ExpressionUUID" -> \
+"42364ffb-0801-4068-92dd-1dd2ca0a5191"],
+Cell[CellGroupData[{
+Cell[2045374, 37662, 459, 13, 34, "Input", "ExpressionUUID" -> \
+"bf674d0d-af90-450c-adb1-9d99c2cffb88"],
+Cell[2045836, 37677, 8851, 163, 230, "Output", "ExpressionUUID" -> \
+"0b7343a7-4703-45e1-bb16-5b27ce9853a2"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[2054724, 37845, 2342, 58, 66, "Input", "ExpressionUUID" -> \
+"92ae3154-3f46-4e0a-9f1a-48944528c3ca"],
+Cell[2057069, 37905, 61812, 1369, 269, "Output", "ExpressionUUID" -> \
+"46c82dd0-fcf2-4632-8973-562e13d0e484"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[2118918, 39279, 3675, 94, 79, "Input", "ExpressionUUID" -> \
+"6b6accaa-50e0-41cb-9db0-89293eff950f"],
+Cell[2122596, 39375, 1281, 21, 23, "Message", "ExpressionUUID" -> \
+"ec208c9a-02d4-4b8b-9777-36402562a2c4"],
+Cell[2123880, 39398, 2875, 65, 57, "Output", "ExpressionUUID" -> \
+"8f7fc702-8ddb-4ba1-b8d4-f00939660c77"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[2126792, 39468, 6293, 123, 79, "Input", "ExpressionUUID" -> \
+"64347503-3722-48ed-946f-0fe086f9b2aa"],
+Cell[2133088, 39593, 4388, 75, 34, "Output", "ExpressionUUID" -> \
+"998aba92-e9ec-40f6-8038-e57794445458"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[2137513, 39673, 558, 14, 34, "Input", "ExpressionUUID" -> \
+"f9e92c1f-29bb-470e-93c6-47064124681e"],
+Cell[2138074, 39689, 9071, 167, 232, "Output", "ExpressionUUID" -> \
+"3d9384ea-ccac-42c5-a146-9ae6ec18f20e"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[2147182, 39861, 3216, 64, 57, "Input", "ExpressionUUID" -> \
+"ab836e51-abed-4ee7-903f-f6cf44c784ce"],
+Cell[2150401, 39927, 20092, 344, 239, "Output", "ExpressionUUID" -> \
+"dec9278d-5854-4784-8c25-24395228fea4"]
+}, Open ]],
+Cell[2170508, 40274, 1401, 32, 59, "Input", "ExpressionUUID" -> \
+"10952899-eeae-4288-b56d-7f275b6c4d16"],
+Cell[CellGroupData[{
+Cell[2171934, 40310, 2311, 57, 146, "Input", "ExpressionUUID" -> \
+"2e5895df-7891-4b0f-a249-a15fbce26feb"],
+Cell[2174248, 40369, 375, 9, 44, "Message", "ExpressionUUID" -> \
+"d09db99e-f4d9-4676-bd94-706817f34883"],
+Cell[2174626, 40380, 346, 8, 42, "Message", "ExpressionUUID" -> \
+"84300781-d3ef-4b86-83b9-e846aae93bce"],
+Cell[2174975, 40390, 384, 9, 28, "Message", "ExpressionUUID" -> \
+"d7f5f15f-5767-4613-954c-08132824ffb8"],
+Cell[2175362, 40401, 346, 8, 42, "Message", "ExpressionUUID" -> \
+"4d665f42-5d36-4f50-92eb-e892965ccf91"],
+Cell[2175711, 40411, 422, 9, 23, "Message", "ExpressionUUID" -> \
+"4b876c39-f3f3-4460-b50d-0dc03e0b0bba"],
+Cell[2176136, 40422, 82460, 1660, 365, 62390, 1331, "CachedBoxData", \
+"BoxData", "Output", "ExpressionUUID" -> \
+"c058e922-79d7-4002-8aa2-9c1cefe5f68c"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[2258633, 42087, 143, 3, 32, "Input", "ExpressionUUID" -> \
+"61412b68-6321-413d-9247-2d07b5464055"],
+Cell[2258779, 42092, 186, 4, 34, "Output", "ExpressionUUID" -> \
+"9b269e39-9e99-448a-9645-dbfba1080d77"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[2259002, 42101, 5864, 109, 125, "Input", "ExpressionUUID" -> \
+"a2254890-459f-445c-adf0-e439b89f46d2"],
+Cell[2264869, 42212, 530, 11, 23, "Message", "ExpressionUUID" -> \
+"3fffc902-dda7-4939-8227-fb7edc3154e2"],
+Cell[2265402, 42225, 4615, 78, 57, "Output", "ExpressionUUID" -> \
+"fd3aaf43-6b1d-4e14-91fb-14a4fde92022"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[2270054, 42308, 549, 13, 34, "Input", "ExpressionUUID" -> \
+"93f12d23-0972-461c-9cc8-cacdc0c21886"],
+Cell[2270606, 42323, 13795, 239, 233, "Output", "ExpressionUUID" -> \
+"e7ecba41-26ad-4544-a214-df2383599af3"]
+}, Open ]],
+Cell[CellGroupData[{
+Cell[2284438, 42567, 3255, 63, 34, "Input", "ExpressionUUID" -> \
+"072222cb-291e-49c7-ab1f-8687e92641cc"],
+Cell[2287696, 42632, 19879, 335, 239, "Output", "ExpressionUUID" -> \
+"8efcbbeb-c39b-4058-8e91-a72d21942124"]
+}, Open ]],
+Cell[2307590, 42970, 1450, 33, 37, "Input", "ExpressionUUID" -> \
+"50aa575d-4de0-479c-acfb-64610886d11c"],
+Cell[CellGroupData[{
+Cell[2309065, 43007, 2353, 57, 66, "Input", "ExpressionUUID" -> \
+"a48bd8a1-dd94-4af0-9f58-86a6aeaaf1d2"],
+Cell[2311421, 43066, 89140, 1770, 365, 68988, 1439, "CachedBoxData", \
+"BoxData", "Output", "ExpressionUUID" -> \
+"19b0f482-1b77-4a22-891a-1bd87bbc5b97"],
+Cell[2400564, 44838, 139, 3, 32, "Output", "ExpressionUUID" -> \
+"52936e39-02be-47d6-9c92-7396f7412de6"]
+}, Open ]]
+}
+]
+*)
+